Fault detection using showerhead voltage variation

文档序号:1298598 发布日期:2020-08-07 浏览:8次 中文

阅读说明:本技术 使用喷头电压变化的故障检测 (Fault detection using showerhead voltage variation ) 是由 苏尼尔·卡普尔 亚思万斯·兰吉内尼 亚伦·宾汉姆 图安·纽伦 于 2016-03-31 设计创作,主要内容包括:本发明涉及使用喷头电压变化的故障检测。提供了用于检测等离子体处理系统的处理状态的方法和系统。一方法包括:从射频(RF)功率源向等离子体处理系统的喷头提供RF功率以及在布置在等离子体处理系统中的衬底运行处理操作。该方法还包括:使用串联式连接在RF功率源和喷头之间的电压探针感测喷头的电压。在处理操作的运行期间,电压的感测产生电压值。该方法包括:将电压值与被预定义用于正在运行的处理操作的电压检查带进行比较。该比较被配置为检测电压值在电压检查带之外的时间。该方法还包括:当该比较检测到电压值在电压检查带之外时生成警报。警报进一步被配置为基于被预定义的用于处理操作的电压检查带识别故障的类型。(The invention relates to fault detection using showerhead voltage variation. Methods and systems for detecting a process state of a plasma processing system are provided. The method comprises the following steps: RF power is provided from a Radio Frequency (RF) power source to a showerhead of a plasma processing system and a processing operation is run on a substrate disposed in the plasma processing system. The method further comprises the following steps: the voltage of the showerhead is sensed using a voltage probe connected in series between the RF power source and the showerhead. During the running of the processing operation, the sensing of the voltage results in a voltage value. The method comprises the following steps: the voltage value is compared to a voltage check band that is predefined for the processing operation being run. The comparison is configured to detect when the voltage value is outside of the voltage check band. The method further comprises the following steps: an alarm is generated when the comparison detects that the voltage value is outside the voltage check band. The alarm is further configured to identify a type of fault based on the predefined voltage check band for the processing operation.)

1. A method for detecting a condition of a plasma processing system, comprising,

providing RF power from an RF power source to a showerhead of each of a plurality of stations of the plasma processing system;

running a processing operation on a substrate disposed in each of the plurality of stations of the plasma processing system;

sensing, at each of the plurality of stations, a voltage of the showerhead for a period of time using a voltage probe connected in series between the radio frequency power source and the showerhead, the sensing of the voltage at each of the plurality of stations producing a voltage value during the running of the processing operation;

for each station, the voltage value is compared to a voltage check band, the comparison identifying at which station the generated voltage value is outside the voltage check band.

2. The method of claim 1, further comprising

Wherein the voltage check band is associated with a first processing operation.

3. The method of claim 2, further comprising running a second processing operation on the substrate or another substrate, the second processing operation being associated with a respective voltage check band predefined for the second processing operation.

4. The method of claim 1, comprising,

performing a calibration operation on the plasma processing system, the calibration operation generating information defining the voltage check band for the processing operation, saving the information to a database and accessed during the comparison.

5. The method of claim 4, wherein the calibration operation generates information for a plurality of voltage check bands associated with a plurality of processing operations, wherein each of the plurality of voltage check bands corresponds to a particular system state.

6. The method of claim 5, wherein the state in the particular system state comprises a wafer misalignment, or a precursor delivery failure, or a failure of operation of a valve, or an electrical short state, or an electrical open state.

7. The method of claim 1, wherein the processing operation is a deposition process configured to deposit a layer of material on the substrate.

8. The method of claim 1, wherein the condition is one of a wafer misalignment, or a precursor delivery failure, or a failure of operation of a valve, or an electrical short condition, or an electrical open condition.

9. A plasma processing system, comprising,

a voltage probe connected in series between a radio frequency power source and a showerhead, the voltage probe configured to sense a voltage value during a run of processing operations to deposit material over a substrate in the plasma processing system; and

a controller configured to receive the sensed voltage value and compare the sensed voltage value to a voltage check band predefined for the running processing operation, the comparison configured to detect a type of fault based on a voltage change associated with the voltage check band predefined for the processing operation.

10. The plasma processing system of claim 9 wherein said controller is configured to perform a calibration operation on said plasma processing system, said calibration operation producing information defining said voltage check band for said processing operation, said controller saving this information to a database for access during said comparison operation.

11. The plasma processing system of claim 10 wherein said calibration operation generates information for a plurality of voltage check bands associated with a plurality of processing operations, wherein each of said plurality of voltage check bands corresponds to a particular one of the types of faults.

12. The plasma processing system of claim 9 wherein said type of fault comprises a wafer misalignment, or a precursor delivery failure, or a valve operational failure, or an electrical short condition, or an electrical open condition.

Technical Field

Embodiments of the present invention relate to semiconductor wafer processing equipment tools, and more particularly, to systems and methods for detecting faults using showerhead voltage variations.

Background

Some semiconductor processing systems may employ a plasma in depositing a thin film on a substrate in a processing chamber. Typically, the substrate is disposed on a susceptor in the process chamber. To create a thin film using chemical vapor deposition, one or more precursors are provided to the process chamber through a showerhead.

During processing, Radio Frequency (RF) power may be supplied to the showerhead or the electrode to generate a plasma. For example, RF power may be supplied to an electrode embedded within a base platen, which may be made of a non-conductive material (e.g., ceramic). Another conductive portion of the pedestal may be connected to RF ground or another significantly different potential.

When the electrode is energized by RF power, an RF field is generated between the substrate and the showerhead to generate a plasma between the wafer and the showerhead. Plasma Enhanced Chemical Vapor Deposition (PECVD) is a type of plasma deposition used to deposit thin films from gaseous (i.e., vapor) to solid states on substrates such as wafers. The PECVD system converts the liquid precursor to a vapor phase precursor, which is delivered to the chamber.

However, it is often difficult to determine the cause of process variations or failures without undue troubleshooting and/or system downtime during processing.

It is in this context that the present invention has been made.

Disclosure of Invention

Embodiments of the present disclosure provide embodiments that utilize voltage sensing of a showerhead implemented in a semiconductor processing tool to identify and detect conditions that may affect processing operations. In one embodiment, the described system includes utilizing a voltage probe based on power delivered to the processing system to sense changes in voltage during operation so that a particular condition requiring attention or correction may be signaled and identified.

The voltage data collected from the voltage probes is mapped to in-band and out-of-band calibration data configured to identify one or more specific faults. For example, various component and/or system faults may be detected using data obtained from voltage changes of the showerhead. Detection of embodiments may identify wafer misalignment, precursor failure, valve failure, status of radio frequency open or short circuits, and others. In one embodiment, the system may be calibrated with known, reliable components and systems. The reference station voltage and natural variations are saved to a database or file using a voltage probe. The variations may define a band in which the voltage is expected for a station during a particular processing operation. During operation, the voltage probe can measure the change in voltage and from the change in voltage relative to the reference station, the system will identify or can identify a component/system fault. In one embodiment, an alarm is set when the voltage changes beyond natural variations. In another embodiment, a report is generated when a fault condition is detected. In another embodiment, the graphical user interface may identify the status and suggest/recommend corrections. In yet another embodiment, faults that occur over time may be saved to a database for historical evaluation and/or analytical analysis.

In one embodiment, a method for detecting a process state of a plasma processing system is provided. The method comprises the following steps: providing Radio Frequency (RF) power from an RF power source to a showerhead of the plasma processing system; and running a processing operation on a substrate disposed in the plasma processing system. The method further comprises the following steps: the voltage of the showerhead is sensed using a voltage probe connected in series between the RF power source and the showerhead. The sensing of the voltage results in a voltage value during the running of the processing operation. The method comprises the following steps: comparing the voltage value to a voltage check band predefined for the processing operation being run. The comparison is configured to detect a time when the voltage value is outside of the voltage check band. The method further comprises the following steps: generating an alarm when the comparison detects that the voltage value is outside the voltage check band. The alarm is further configured to identify a type of fault based on the predefined voltage check band for the processing operation.

In another embodiment, a plasma processing system is provided. The system comprises: a susceptor for supporting a substrate; a showerhead for supplying process gases into the plasma processing system and onto the substrate; a Radio Frequency (RF) power source for providing RF power to a showerhead of the plasma processing system. The system further comprises: a voltage probe connected in series between the RF power source and the showerhead. The voltage probe is configured to sense a voltage value during a run of the processing operation to deposit a material on the substrate in the plasma processing chamber. The system further comprises: a controller configured to receive the sensed voltage value and compare the sensed voltage value to a voltage check band predefined for the processing operation being run. The comparison is configured to detect when the voltage value is outside of the voltage check band, and further configured to generate an alarm when the comparison detects that the sensed voltage value is outside of the voltage check band. The alarm is configured to identify a type of fault based on the voltage check band predefined for the processing operation.

As used herein, an alarm should be broadly considered to be data representing a state. These data may be represented in graphical form, textual form, audible form, reporting form, notification form, historical data form, fault signal form, informational form, or a combination of two or more thereof.

In various embodiments, but not limiting of other types of faults and/or conditions, some system conditions include wafer misalignment, or precursor delivery failure, or valve operation failure, or electrical short circuit condition, or electrical open circuit condition.

In particular, some aspects of the invention may be described as follows:

1. a method for detecting a process state of a plasma processing system, comprising,

providing Radio Frequency (RF) power from an RF power source to a showerhead of the plasma processing system;

running a processing operation on a substrate disposed in the plasma processing system;

sensing a voltage of the showerhead using a voltage probe connected in series between the RF power source and the showerhead, the sensing of the voltage producing a voltage value during the running of the processing operation;

comparing the voltage value to a voltage check band predefined for the processing operation being run, the comparison configured to detect when the voltage value is outside the voltage check band; and

generating an alarm when the comparison detects that the voltage value is outside of the voltage check band, the alarm further configured to identify a type of fault based on the predefined voltage check band for the processing operation.

2. The method of clause 1, further comprising running a second process operation on the substrate or another substrate, the second process state being associated with a respective voltage check band predefined for the second process.

3. The method of clause 1, further comprising,

performing a calibration operation on the plasma processing system, the calibration operation generating information for the voltage check band defining the process state, saving the information to a database and being accessed during the comparison operation.

4. The method of clause 3, wherein the calibration operation generates information for a plurality of voltage check bands associated with a plurality of processing operations, wherein each of the plurality of voltage check bands corresponds to a particular system state.

5. The method of clause 5, wherein the state in the particular system state comprises a wafer misalignment, or a precursor delivery failure, or a failure to operate a valve, or an electrical short state, or an electrical open state.

6. The method of clause 1, wherein the processing operation is a deposition process configured to deposit a layer of material on the substrate.

7. The method of clause 1, wherein the plasma processing system comprises a plurality of stations, and each station comprises one of the voltage probes to sense a voltage from the showerhead of a respective station of the plurality of stations.

8. The method of clause 7, wherein the generated alert identifies the station and the state in which the alert was generated.

9. The method of clause 8, wherein the condition is one of a wafer misalignment, or a precursor delivery failure, or a failure to operate a valve, or an electrical short condition, or an electrical open condition.

10. A plasma processing system, comprising,

a susceptor for supporting a substrate;

a showerhead for supplying process gases into the plasma processing system and onto the substrate;

a Radio Frequency (RF) power source for providing RF power to a showerhead of the plasma processing system;

a voltage probe connected in series between the RF power source and the showerhead, the voltage probe configured to sense a voltage value during operation of a processing operation to deposit material on the substrate in the plasma processing chamber; and

a controller configured to receive the sensed voltage value and compare the sensed voltage value to a voltage check band predefined for the running process operation, the comparison configured to detect a time when the voltage value is outside the voltage check band, and further configured to generate an alarm when the comparison detects that the sensed voltage value is outside the voltage check band, the alarm configured to identify a type of fault based on the predefined voltage check band for the process operation.

11. The plasma processing system of clause 10, wherein the controller is configured to perform a calibration operation on the plasma processing system, the calibration operation generating information defining the voltage check band for the process state, the controller saving this information to a database for access during the comparison operation.

12. The plasma processing system of clause 11, wherein the calibration operation generates information for a plurality of voltage check bands associated with a plurality of process operations, wherein each of the plurality of voltage check bands corresponds to a particular one of the types of faults.

13. The plasma processing system of clause 10, wherein the type of fault comprises a wafer misalignment, or a precursor delivery failure, or a failure in operation of a valve, or an electrical short condition, or an electrical open condition.

14. The plasma processing system of clause 10, wherein the plasma processing system comprises a plurality of stations, and each station comprises one of the voltage probes to sense a voltage from the showerhead of a respective station of the plurality of stations.

15. The plasma processing system of clause 10, wherein the generated alarm identifies the station and the state at which the alarm was generated.

Drawings

Fig. 1 illustrates a substrate processing system for processing a wafer, for example, to form a film thereon.

FIG. 2 illustrates an exemplary chamber that utilizes a voltage probe to monitor the voltage of the showerhead during operation and return sensed data to the controller module for processing and identification of faults or conditions, according to an embodiment of the invention.

Fig. 3 and 4 show top views of a multi-station processing tool in which four processing stations are provided, according to one embodiment.

FIG. 5 illustrates an exemplary diagram of providing a voltage probe at each of processing stations 1, 2, 3, and 4, according to one embodiment.

Fig. 6A to 6C illustrate the results of voltage detection at the showerhead 14, thereby detecting wafer displacement at a specific station.

FIG. 7 illustrates an example in which precursor fault detection is handled using a showerhead voltage detected using a voltage probe, according to an implementation.

Fig. 8A and 8B illustrate an example embodiment in which sensed voltage of the showerhead may also be used to monitor and detect a valve failure of the supply gas for a particular failure during operation, according to an embodiment.

Fig. 9A and 9B illustrate an example of detecting a short circuit in a process chamber using a voltage probe to detect a voltage of a showerhead and a change in the voltage, according to an embodiment.

Fig. 10A and 10B illustrate an embodiment in which the voltage change of the spray head can be monitored to determine and detect the condition when the station is experiencing an electrically open circuit condition.

FIG. 11 illustrates a control module for a control system, according to one embodiment.

Detailed Description

Embodiments of the present disclosure provide embodiments of a process chamber for processing a semiconductor wafer. In one implementation, a method and system are provided that enable detection of a system state or fault by sensing a voltage change. In various embodiments defined herein, the voltage change is sensed at a showerhead of a plasma processing chamber. Based on the sensed voltage or deviation from an expected voltage or range of voltages, a particular condition may be identified or determined.

It should be appreciated that embodiments of the invention can be implemented in numerous ways, such as a process, an apparatus, a system, a device, or a method. Several embodiments are described below.

The deposition of the film is preferably performed in a Plasma Enhanced Chemical Vapor Deposition (PECVD) system. PECVD systems can take many different forms. PECVD systems comprise one or more chambers or "reactors" (sometimes including multiple stations) that house one or more wafers and are suitable for wafer processing. Each chamber may accommodate one or more wafers for processing. One or more chambers maintain the wafer in a defined position or positions (with or without movement, e.g., with or without rotation, vibration, or other agitation). During processing, wafers undergoing deposition may be transferred within the reactor chamber from one station to another. Of course, film deposition may all occur at a single station or any portion of the film may be deposited at any number of stations. During processing, each wafer is held in place by a susceptor, wafer chuck, and/or other wafer holding device. For some operations, the apparatus may include a heater, such as a hot plate, to heat the wafer.

Fig. 1 illustrates an exemplary CVD system. The deposition of the film is preferably performed in a Plasma Enhanced Chemical Vapor Deposition (PECVD) system. PECVD systems can take many different forms. PECVD systems comprise one or more chambers or "reactors" (sometimes including multiple stations) that house one or more wafers and are suitable for wafer processing. Each chamber may accommodate one or more wafers for processing. One or more chambers maintain the wafer in a defined position or positions (with or without movement, e.g., with or without rotation, vibration, or other agitation). During processing, wafers undergoing deposition may be transferred within the reactor chamber from one station to another. Of course, film deposition may all occur at a single station or any portion of the film may be deposited at any number of stations.

For example, the reactor 10 in FIG. 1 includes a process chamber 24, the process chamber 24 enclosing other components of the reactor and containing a plasma, the plasma may be generated by a capacitor type system including a showerhead 14 operating in conjunction with a grounded heater block 20, a High Frequency (HF) Radio Frequency (RF) generator 02 and a low frequency (L F) RF generator 04 connected to a matching network 60, and the showerhead 14, the power and frequency supplied by the matching network 60 may be sufficient to generate a plasma from the process gas.

Within the reactor, a wafer pedestal 18 supports the substrate 16. The susceptor 18 typically contains chucks, forks, or lift pins to hold and transfer substrates during and between deposition and/or plasma processing reactions. The chuck may be an electrostatic chuck, a mechanical chuck, or various other types of chucks. Process gas is introduced via inlet 12. A plurality of source gas lines 10 are connected to the manifold 508. The gases may or may not be premixed. Appropriate valves and mass flow control mechanisms are employed to ensure that the appropriate gases are delivered during the deposition and plasma processing stages of the process.

The process gas is output from the chamber 24 via the outlet 22. A vacuum pump 26, such as a primary or secondary mechanical dry pump and/or a turbomolecular pump, draws process gases from the reactor and maintains a suitably low pressure within the reactor by using a closed-loop controlled flow restriction device, such as a throttle valve or a pendulum valve. In various embodiments, the wafer may be indexed after each deposition and/or post-deposition plasma anneal process until all desired depositions and processes are complete, or multiple depositions and processes may be performed at a single station before the wafer is indexed.

While such a system works well, a measurement system is required to monitor the process to detect faults or incorrect process conditions in the embodiment of FIG. 2, the chamber may be a tool for PECVD or A L D.

Fig. 2 shows a substrate processing system 100 that is used to process a wafer 101. The system includes a chamber 102 having a lower chamber portion 102b and an upper chamber portion 102 a. The center post is configured to support the base 140, the base 140 being a ground electrode in one embodiment. The showerhead 14 is electrically coupled to a power source (e.g., one or more RF power generators 50) via an RF match 60. The power source 50 is controlled by a control module 110 (e.g., a controller). The control module 110 is configured to operate the substrate processing system 100 by performing process inputs and controls 109. The process inputs and controls 108 may include process recipes such as power levels, timing parameters, process gases, mechanical movement of the wafer 101, etc. to deposit or form a film on the wafer 101.

The center column is also shown to include lift pins 120, the lift pins 120 being controlled by lift pin control 122. The lift pins 120 are used to lift the wafer 101 from the pedestal 140 to enable an end effector to pick up the wafer and lower the wafer 101 after the wafer 101 is placed by the end effector. The substrate processing system 100 also includes a gas supply manifold 112 connected to a process gas 114 (e.g., a supply of a gas chemistry from a facility). The control module 110 controls delivery of process gases 114 through the gas supply manifold 112 according to the process being performed. The selected gas then flows into the showerhead 14 and is distributed in a volume of space defined between the face of the showerhead 14 facing the wafer 101 and the wafer 101 resting on the pedestal 140.

The radio frequency match 60 may be coupled to an RF distribution system 107, the RF distribution system 107 providing RF power to the system 100. For example, if the system 100 is one station in a group of stations, as shown in fig. 3 below, then the RF distribution system 107 is used to provide RF power to the system 100. Then, during operation, RF power is delivered through the voltage probe 108 to sense the voltage of the showerhead 14. The voltage data collected from the voltage probe 108 is mapped to in-band and out-of-band calibration data configured to identify one or more specific faults.

For example, various component and/or system faults may be detected by data read by the voltage probe 108 using showerhead voltage changes. Exemplary tests can identify wafer misalignment, precursor failure, failure of Clean Dry Air (CDA) valves, radio frequency open/short faults, and others.

A method includes calibrating the system with known, reliable components and systems. The reference station voltage and natural variations are saved to a database or file using a voltage probe. During operation, the voltage probe 108 can measure the change in voltage and, based on the deviation from the reference station voltage, the system will identify or enable identification of a component/system fault. In one embodiment, an alarm is set when the voltage changes beyond natural variations.

Also shown is a carrier ring 200 surrounding the outer region of the base 140. The carrier ring 200 is configured to sit on a carrier ring support region, which is a step down from a wafer support region at the center of the susceptor 140. The carrier ring includes an outer edge side (e.g., outer radius) of its disk structure and a wafer edge side (e.g., inner radius) where its disk structure is closest to the wafer 101. The wafer edge side of the carrier ring includes a plurality of contact support structures configured to lift the wafer 101 when the carrier ring 200 is lifted by the spider fork 180. Thus, the carrier ring 200 is lifted with the wafer 101 and can be rotated to another station (e.g., in a multi-station system).

Fig. 3 shows a top view of a multi-station processing tool in which four processing stations are provided. The top view is of the lower chamber section 102b (e.g., the upper chamber section 102a is removed for illustration) with four stations accessible by spider forks 226. Each spider or fork includes a first arm and a second arm, each arm being disposed around a portion of each side of the base 140. In this view, spider prongs 226 are drawn with dashed lines to express that they are underneath load ring 200. Spider forks 226 using the engaging and rotating mechanism 220 are configured to simultaneously raise and lift the carrier ring 200 from the station (i.e., from the lower surface of the carrier ring 200), and then rotate at least one or more of the stations to a next position prior to lowering the carrier ring 200 (where at least one of the carrier rings supports the wafer 101) so that further plasma processing, and/or film deposition can be performed on each wafer 101. As described above, sometimes the wafer may not be properly placed on the susceptor after rotation, and the voltage sensing is configured to identify such a state, for example, a station at which a misaligned wafer is located. In an embodiment, each station will include a voltage probe 108 for sensing voltage. In some embodiments, each station may include more than one voltage probe, e.g., a different probe for each different RF frequency source.

Fig. 4 shows a schematic diagram of an embodiment of a multi-station processing tool 300 having inbound load locks 302 and outbound load locks 304. The robot 306 is configured to move substrates from a boat loaded through a pod (pod)308 to the inbound load lock 302 via an atmospheric port 310 at atmospheric pressure. The inbound load lock 302 is coupled to a vacuum source (not shown) so that the inbound load lock 302 may be evacuated when the atmospheric port 310 is closed. The inbound load lock 302 also includes a chamber transfer port 316 that interfaces with the process chamber 102 b. Thus, when the chamber transfer port 316 is opened, another robot (not shown) may move the substrate from the inbound load lock 302 to the pedestal 140 of the first processing station for processing.

The process chamber 102b includes four process stations, numbered from 1 to 4 in the embodiment shown in FIG. 3 (i.e., stations S1, S2, S3, and S4). In some embodiments, the process chamber 102b may be configured to maintain a low pressure environment such that substrates may be transferred between processing stations using the carrier ring 200 without experiencing vacuum break and/or exposure to air. Each processing station shown in fig. 3 includes a processing station substrate holder (shown at 318 for station 1) and a process gas delivery line inlet.

FIG. 5 shows one exemplary view of providing a voltage probe at each of the processing stations 1, 2, 3, and 4, which may be as described above for FIGS. 3 and 4, as shown, RF power is provided in this embodiment by a low frequency generator (L F) and a high frequency generator (HF). in one embodiment, the high frequency generator provides power at a frequency of 13.56MHz and the low frequency generator provides power at a frequency of 400kHz, but it should be understood that these frequencies are merely exemplary.

The voltage probes 108 are coupled in series along a power feed connecting the distribution system 107 and each of the spray heads 14 in the various stations. In one embodiment, a single voltage probe 108 is connected in series between each showerhead 14 and the dispensing system 107, for example, a 13.56MHz voltage probe. In another embodiment, more than one voltage probe 108 may be connected in series between the showerhead 14 and the distribution system 107 at each station. In some embodiments, it is feasible that the voltage probe 108 is used to probe voltage from a power source that provides wider frequency operation. For example, while the voltage probe 108 may be designed to be 13.56MHz, the voltage probe may be capable of being used over a wide frequency range of about 13.56 MHz. In still other embodiments, a voltage probe that can operate in the white frequency range (e.g., 100kHz to 100MHz) can be used.

In general, the voltage seen by the processing station can be sensed as long as a voltage probe is provided and coupled to the showerhead 14 based on the power delivered to the showerhead 14. As described above, sensing the voltage at the showerhead 14 may result in different voltage readings depending on the process conditions. In one embodiment, the database of process conditions is pre-calibrated and assigned to expected voltage readings. During operation, sensing a change in voltage at the spray head 14 will enable a particular voltage reading to be generated. In the illustrated embodiment, the output of the voltage sensor is transmitted to the system controller 110. The system controller may provide the information via a graphical user interface or display screen or report.

These voltage readings may then be compared to expected voltage readings from a database (e.g., from previous calibration voltages). In particular embodiments, the program instructions enable display of a fault or potential metering problem or alert identification of a fault or potential metering problem. In one embodiment, depending on the processing operation being performed, the particular voltage reading that is expected will identify the type of fault or system defect. Embodiments of these detected voltage changes are provided below with reference to specific detected changes in the process that are correlated to the voltage readings.

Fig. 6A to 6C illustrate the results of the voltage sensed at the showerhead 14, which detects wafer misalignment at a particular station. Fig. 6A shows the voltage readings for each of the 4 stations. This example is provided to illustrate the voltage bands associated with voltage operation of the jets at station 1. Although voltages for other stations are shown in FIGS. 6A-6C, this illustration, which defines a voltage check band, relates only to the voltage sensed at the jets of station 1 (stn-1). In addition, the graph shows that the change in voltage shown in fig. 6B will occur when the wafer is misaligned by about 2 mm on the susceptor of the station 1. In this embodiment, the voltage sensed by the voltage probe at station 1 will result in a voltage outside the voltage check band. The wafer is set correctly at station 1 and the voltage at the showerhead is reviewed using the voltage probe discussed above for confirmation.

Fig. 6C shows that the voltage sensed by the voltage probe at this showerhead 14 has now returned to approximately the center region of the voltage check band. Thus, the voltage check bands for the other voltages sensed at each of the other stations will have their own voltage check band that is verified during the run to determine if a wafer misalignment has been detected. In one embodiment, wafer misalignment detection may be performed to determine if the voltage on the station has increased by 15V when a 2 mm wafer misalignment occurs. If the other stations do not have wafer dislocations, the other stations will have voltages that remain within their respective voltage check bands.

FIG. 7 illustrates an embodiment in which the fault detection of the showerhead voltage process precursor is used as detected using the voltage probe 108 described above. In this embodiment, during normal operation, the showerhead voltage is shown to operate within a safe operating band ranging between about 68V and 71V, and when the precursor is not present or there is a fault in the precursor delivery, a different voltage signal will be detected, which will be just below the safe operating band. Thus, showerhead voltage sensing can be used to detect precursor failure. It should be understood that the embodiments provided and the voltage ranges provided are merely exemplary, and that each processing operation will have its own normalized or expected safe operating band so that faults can be detected in response to sensing voltages from the jets during operation.

Fig. 8A and 8B illustrate an embodiment in which the sensed voltage of the showerhead may also be used to monitor and detect certain ones of the valve failures of the supply gas during operation. Fig. 8A shows a normal state of each of the four processing stations during processing. The voltage check band of station 4 is highlighted to illustrate the area where voltage is expected during normal operation. As described above, each station may have its own voltage check band (e.g., a range within which the voltage is considered acceptable). In other embodiments, each station may share the same voltage check band. The voltage detection zones will be different or the same depending on the process conditions at each processing station and the operation being performed. Typically, a single processing station will have its own voltage check strips for a particular processing operation that will indicate whether a fault or malfunction has occurred in the process or in a system component of the wafer process, or a combination thereof.

In the particular embodiment of FIG. 8B, the voltage signal to the station is shown to have dropped outside of the voltage check band, which indicates that a change in showerhead voltage is associated with a valve fault. In one embodiment, the valve may provide a gas, such as Clean Dry Air (CDA), or other gas used during processing of semiconductor wafers. Furthermore, this embodiment illustrates that if one of the valves is opened at a particular station, such as station 4, a voltage drop of about 15 volts occurs.

Fig. 9A and 9B illustrate an embodiment of detecting a short circuit in a process chamber using a voltage probe to detect a voltage of a showerhead and a change in the voltage. In the embodiment shown in FIG. 9A, the normal voltage bands for the process and oxide formulations are shown. During this process, the station 2 is shown to have a voltage outside the normal voltage band, which represents a short circuit. Other processing stations within the normal voltage band are deemed not to have a short circuit based on the voltage reading. In this embodiment, the voltage band considered normal is shared by 4 processing stations. Thus, a shorted station may be detected by a showerhead voltage change measurement that is compared and analyzed based on calibration data that may be stored in a database.

FIG. 9B illustrates one embodiment of different voltages utilized during a processing operation. That is, at different times, the voltages deemed acceptable for each processing station will be within a particular acceptable band. Acceptable bands are not shown in fig. 9B, but are understood to cluster near the voltage readings of each of stations 1, 3 and 4. In this embodiment, station 2 does not cluster with the voltage readings of the other stations, indicating that the station experienced a short circuit because its voltage has dropped towards zero volts. In contrast, if an open circuit condition is detected, the voltage reading actually increases beyond the normal band.

Fig. 10A and 10B illustrate an embodiment in which a change in the voltage of the sprinkler can be monitored to identify and detect conditions when the station is experiencing an electrically open condition. The electrically open state is the inverse of the electrically short described above. When experiencing an electrically open state, the peak of the voltage level of the station 2 is shown to exceed the normal voltage band in fig. 10A. In addition, other voltages for other stations remain within the voltage band that is shared among the stations for this embodiment.

Fig. 10B illustrates an embodiment in which a different power cycle will also detect the open state of station 2 when the voltage increases beyond the end of the set of voltages seen by other stations that do not experience an electrically open state. This illustration has been provided to show that faults and conditions of the processing system can be accurately detected during operation using a voltage probe 108 coupled to the showerhead 14.

Furthermore, the detection of various voltages may also be correlated and mapped to calibration data stored in a database, so that the lookup operation can quickly identify what a particular voltage change indicates, and can provide information to a user or operator of the system as an alert, or as a report, or as graphical user data. By monitoring the voltage of the showerhead in this manner, various system conditions that affect or can affect the operation of the process can be identified, thereby providing an on-line type of metering so that specific conditions of the surface can be corrected or adjusted during operation.

Fig. 11 shows a control module 800 for controlling the system described above. In an embodiment, the control module 110 of FIG. 1 may include some of the exemplary components. For example, the control module 800 may include a processor, memory, and one or more interfaces. The control module 800 may be used to control devices in the system based in part on the sensed values. For example only, the control module 800 may control one or more of the valve 802, the filter heater 804, the pump 806, and other equipment 808 based on sensed values and other control parameters. The control module 800 receives sensed values from, for example only, a pressure gauge 810, a flow meter 812, a temperature sensor 814, and/or other sensors 816. The control module 800 may also be used to control process conditions during precursor delivery and film deposition. The control module 800 will typically include one or more memory devices and one or more processors.

The control module 800 may control the activities of the precursor delivery system and the deposition apparatus. The control module 800 executes a computer program comprising sets of instructions for controlling process timing, delivery system temperature, differential pressure across a filter, valve positions, gas mixtures, chamber pressure, room temperature, wafer temperature, RF power levels, wafer chuck or pedestal position, and other parameters of a particular process. The control module 800 may also monitor the pressure differential and automatically switch the vapor precursor delivery from one or more paths to one or more other paths. Other computer programs stored on a memory device associated with the control module 800 may be employed in some embodiments.

There will typically be a user interface associated with the control module 800. The user interface may include a display 818 (e.g., a display screen and/or a graphical software display of the apparatus and/or process conditions) and user input devices 820 such as a pointing device, keyboard, touch screen, microphone, etc.

The computer program for controlling precursor delivery, deposition and other processes in the process sequence may be written in any common computer readable programming language: such as assembly language, C, C + +, Pascal, Fortran, or others. The compiled object code or script is executed by the processor to perform the tasks identified in the program.

The control module parameters are related to process conditions such as, for example, filter differential pressure, process gas composition and flow rate, temperature, pressure, plasma conditions (such as RF power level and low frequency RF frequency), cooling gas pressure, and chamber wall temperature.

The system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control the operation of the chamber components required to perform the inventive deposition process. Examples of programs or program segments for this purpose include substrate placement code, process gas control code, pressure control code, heater control code, and plasma control code.

The substrate placement program can include program code for controlling chamber components used to load a substrate onto a pedestal or chuck and for controlling the spacing between the substrate and other chamber components, such as gas inlets and/or targets. The process gas control program may include code for controlling the gas composition and flow rate and optionally for flowing the gas into the chamber prior to deposition in order to stabilize the pressure in the chamber. The filter monitoring program comprises code for comparing the measured difference with a predetermined value and/or code for switching paths. The pressure control program may include code for controlling the pressure in the chamber by adjusting a throttle valve in an exhaust system, such as the chamber. The heater control program may include code for controlling current to a heating unit for heating a component in the precursor delivery system, the substrate, and/or other portions of the system. Alternatively, the heater control program may control the delivery of a heat transfer gas (such as helium) to the wafer chuck.

Examples of sensors that may be monitored during deposition include, but are not limited to, mass flow control modules, pressure sensors (such as pressure gauge 810), and thermocouples located in the delivery system, susceptor, or chuck (e.g., temperature sensor 814). Appropriately programmed feedback and control algorithms can be used with the data from these sensors to maintain desired process conditions. The foregoing describes the practice of embodiments of the present invention in a single or multi-chamber semiconductor processing tool.

In some implementations, the controller is part of a system that may be part of the embodiments described above. Such systems may include semiconductor processing equipment including one or more processing tools, one or more processing chambers, one or more platforms for processing, and/or specific processing components (wafer susceptors, gas flow systems, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of semiconductor wafers or substrates. The electronic device may be referred to as a "controller," which may control various elements or subcomponents of one or more systems. Depending on the processing requirements and/or type of system, the controller can be programmed to control any of the processes disclosed herein, including controlling process gas delivery, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, Radio Frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and operation settings, wafer transfer in and out of tools and other transfer tools, and/or load locks connected or interfaced with specific systems.

Broadly, a controller may be defined as an electronic device having various integrated circuits, logic, memory, and/or software to receive instructions, issue instructions, control operations, enable cleaning operations, enable endpoint measurements, and so forth. An integrated circuit may include a chip in firmware that stores program instructions, a Digital Signal Processor (DSP), a chip defined as an Application Specific Integrated Circuit (ASIC), and/or one or more microprocessors or microcontrollers executing program instructions (e.g., software). The program instructions may be in the form of instructions (or program files) that are transmitted to the controller in various separate settings that define the operating parameters for performing specific processes on or for a semiconductor wafer or system. In some embodiments, the operating parameter may be part of a recipe (recipe) defined by a process engineer for completing one or more process steps during the preparation of one or more layer(s), material(s), metal(s), oxide(s), silicon dioxide, surface(s), circuit(s) and/or die(s) of a wafer.

In some implementations, the controller may be part of or coupled to a computer that is integrated with, coupled to, or otherwise connected to the system via a network, or a combination thereof. For example, the controller may be in the "cloud" or be all or part of a fab host system, thereby allowing remote access to the wafer processing. The computer may enable remote access to the system to monitor the current progress of the manufacturing operation, check the history of past manufacturing operations, check trends or performance criteria for multiple manufacturing operations, change parameters of the current process, set processing steps to follow the current process or begin a new process. In some embodiments, a remote computer (e.g., a server) may provide the process recipe to the system over a network, which may include a local network or the Internet. The remote computer may include a user interface that allows parameters and/or settings to be input or programmed, which are then communicated from the remote computer to the system. In some embodiments, the controller receives instructions in the form of data specifying parameters for each process step to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus, as described above, the controllers may be distributed, for example, by including one or more discrete controllers that are connected together by a network and work toward a common goal (e.g., the processes and controls described herein). Embodiments of a distributed controller for these purposes may be one or more integrated circuits on the chamber that communicate with one or more remote integrated circuits (e.g., at the platform level or as part of a remote computer) that are incorporated to control processes on the chamber.

Exemplary systems may include, without limitation, a plasma etch chamber or module, a deposition chamber or module, a spin rinse chamber or module, a metal plating chamber or module, a cleaning chamber or module, a bevel edge etch chamber or module, a Physical Vapor Deposition (PVD) chamber or module, a Chemical Vapor Deposition (CVD) chamber or module, an atomic layer deposition (a L D) chamber or module, an atomic layer etch (a L E) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing system that may be associated with or used in the preparation and/or fabrication of semiconductor wafers.

As described above, the controller may communicate with one or more other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, tools located throughout the factory, a host computer, another controller, or tools used in the handling of containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing facility, depending on the process step or steps to be performed by the tool.

The foregoing description of the embodiments has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention. Individual elements or features of a particular embodiment are generally not limited to that particular embodiment, but, where applicable, are interchangeable and can be used in a selected embodiment, even if not specifically shown or described. The individual elements or features of a particular embodiment may also be varied in a number of ways. Such variations are not to be regarded as a departure from the invention, and all such modifications are intended to be included within the scope of the invention.

Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be appreciated that certain changes and modifications may be practiced within the scope of the appended claims. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein, but may be modified within the scope and equivalents of the appended claims.

24页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:硅晶片及其制造方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类