Extreme ultraviolet mask and manufacturing method thereof

文档序号:1413789 发布日期:2020-03-10 浏览:16次 中文

阅读说明:本技术 极紫外遮罩及其制造方法 (Extreme ultraviolet mask and manufacturing method thereof ) 是由 石志聪 简聪智 傅士奇 傅啟华 郑国堂 刘柏村 李宗泉 于 2019-08-30 设计创作,主要内容包括:一种极紫外线遮罩及其制造方法。极紫外(extreme ultraviolet;EUV)遮罩包括:多层钼/硅堆叠、覆盖层及在覆盖层上的吸收体层。钼/硅堆叠包含安置于遮罩基板的第一主表面之上的交替的钼及硅层;覆盖层由钌制成并且安置在多层钼/硅堆叠之上。极紫外遮罩包括电路图案区域及粒子吸引区域,并且覆盖层在粒子吸引区域的图案的底部处暴露。(An extreme ultraviolet mask and a method of manufacturing the same. An Extreme Ultraviolet (EUV) mask includes: a multilayer molybdenum/silicon stack, a capping layer, and an absorber layer on the capping layer. The molybdenum/silicon stack comprises alternating layers of molybdenum and silicon disposed over the first major surface of the mask substrate; the capping layer is made of ruthenium and is disposed over the multilayer molybdenum/silicon stack. The extreme ultraviolet mask includes a circuit pattern region and a particle attracting region, and the cover layer is exposed at a bottom of the pattern of the particle attracting region.)

1. An Extreme Ultraviolet (EUV) mask, comprising:

a multi-layer molybdenum/silicon stack comprising alternating layers of molybdenum and silicon disposed on a first major surface of a mask substrate;

a capping layer made of ruthenium and disposed on the multilayer molybdenum/silicon stack; and

an absorber layer on the cover layer,

wherein the extreme ultraviolet mask includes a circuit pattern region and a particle attracting region, an

The cover layer is exposed at the bottom of the pattern of particle attracting regions.

2. The EUV mask of claim 1, wherein the patterns in the particle attracting region have a size less than a resolution limit of an EUV exposure apparatus used with the EUV mask.

3. The mask of claim 1, wherein the patterns in the particle attracting region are line and space patterns.

4. The euv mask of claim 1, wherein the circuit pattern region and the particle attracting region are spaced apart from each other by at least about 0.5 μm.

5. An Extreme Ultraviolet (EUV) mask, comprising:

a multi-layer molybdenum/silicon stack comprising alternating layers of molybdenum and silicon disposed on a first major surface of a mask substrate;

a capping layer made of ruthenium and disposed on the multilayer molybdenum/silicon stack; and

an absorber layer on the cover layer,

wherein the extreme ultraviolet mask includes a circuit pattern region and a particle attracting region, an

A conductive layer is formed as a topmost layer over the absorber layer in the particle attraction zone.

6. The EUV mask of claim 5, wherein the conductive layer has a higher conductivity than the absorber layer.

7. The EUV mask of claim 5, further comprising an anti-reflection layer disposed on the absorber layer,

wherein the conductive layer is disposed on the anti-reflective layer.

8. The mask according to claim 7, wherein the conductive layer has a higher conductivity than the anti-reflective layer.

9. The EUV mask of claim 5, wherein the at least one particle is disposed on the conductive layer in the particle attracting region.

10. A method of fabricating an euv mask, comprising:

acquiring circuit pattern data;

identifying one or more non-pattern areas;

generating a particle attracting pattern for the one or more non-pattern areas;

generating mask pattern data from the circuit pattern data and the particle attraction patterns; and

the extreme ultraviolet mask is manufactured using the generated mask map data.

Technical Field

The present disclosure relates to an extreme ultraviolet mask and a method of manufacturing the same.

Background

In Extreme Ultraviolet (EUV) photolithography, extreme ultraviolet light emitted by the plasma is reflected off a collector mirror, directed toward a patterned EUV mask, and reflected off the mask onto a target substrate. The euv reflective mask includes a substrate, an euv reflective multilayer structure, and an euv absorbing layer ("absorber"). The extreme ultraviolet absorbing layer is patterned by etching and photolithography to expose the underlying extreme ultraviolet reflecting layer for extreme ultraviolet lithographic patterning of a desired pattern on a target substrate; while the extreme ultraviolet absorbing layer absorbs extreme ultraviolet light to pattern the target substrate in desired regions. Therefore, the thickness of the euv absorbing layer, the thickness of each of the layers of the euv reflecting multilayer structure, the surface roughness of the layers, and the uniformity of the material properties throughout each layer are all extremely important for the quality of the euv light irradiating the target substrate. In industry practice, off-axis illumination or other factors can create a shadow effect on the target substrate, and variations in the thickness of the euv light-absorbing layer can affect the proper function of the combination of the euv light-absorbing layer and the euv reflective multilayer structure.

Disclosure of Invention

An aspect of the present disclosure provides an Extreme Ultraviolet (EUV) mask, including: a multilayer molybdenum/silicon stack, a capping layer, and an absorber layer on the capping layer. The multilayer molybdenum/silicon stack includes alternating molybdenum and silicon layers disposed over a first major surface of a mask substrate. The capping layer is made of ruthenium and is disposed over the multilayer molybdenum/silicon stack. The extreme ultraviolet mask includes a circuit pattern region and a particle attracting region, and the cover layer is exposed at a bottom of the pattern of the particle attracting region.

Another aspect of the present disclosure provides an Extreme Ultraviolet (EUV) mask comprising a multi-layer molybdenum/silicon stack, a capping layer, an absorber layer on the capping layer, and a conductive layer. The multi-layer molybdenum/silicon stack includes alternating layers of molybdenum and silicon disposed on the first major surface of the mask substrate. The capping layer is made of ruthenium and is disposed on the multilayer molybdenum/silicon stack. The extreme ultraviolet mask includes a circuit pattern region and a particle attracting region, and the conductive layer is formed as the topmost layer on the absorber layer in the particle attracting region.

Another aspect of the present disclosure provides a method of manufacturing an euv mask, comprising: acquiring circuit pattern data; identifying one or more non-pattern areas; generating a particle attracting pattern for one or more non-pattern regions; generating mask image data from the circuit pattern data and the particle attraction pattern; and manufacturing an extreme ultraviolet mask using the generated mask map data.

Drawings

The present disclosure will be best understood from the following detailed description when read with the accompanying drawing figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustrative purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.

FIG. 1 illustrates an extreme ultraviolet lithography tool in accordance with an embodiment of the present disclosure;

FIG. 2 illustrates a schematic diagram of details of an extreme ultraviolet lithography tool, according to an embodiment of the present disclosure;

FIG. 3 illustrates a cross-sectional view of an extreme ultraviolet mask, in accordance with an embodiment of the present disclosure;

FIG. 4 illustrates a layout before and after adding a particle attracting pattern to a masking pattern in accordance with an embodiment of the present disclosure;

FIG. 5A illustrates a non-printable fine pattern, and FIG. 5B illustrates a non-printable fine pattern, according to another embodiment of the present disclosure;

FIG. 6 illustrates a flow chart of a method of fabricating an extreme ultraviolet mask for a semiconductor manufacturing operation in accordance with an embodiment of the present disclosure;

fig. 7A and 7B illustrate a photomask data generating apparatus according to an embodiment of the present disclosure;

FIG. 8 illustrates a cross-sectional view of an extreme ultraviolet mask, in accordance with an embodiment of the present disclosure;

FIG. 9 illustrates a layout after adding a particle attracting pattern to a mask pattern in accordance with another embodiment of the present disclosure;

FIG. 10 illustrates a layout after adding a particle attracting pattern to a mask pattern in accordance with another embodiment of the present disclosure;

fig. 11 illustrates experimental results of the effects of the present disclosure.

[ notation ] to show

10 mask substrate

15 conductive layer

17 molybdenum/beryllium layer

19 silicon layer

20 multilayer Stack

25 cover layer

30 extreme ultraviolet absorbing layer/absorber

35 anti-reflection layer

50 circuit pattern

60 particle attraction zone

65 fine pattern

70 black border area

80 conductive layer

100 extreme ultraviolet radiation source

105 chamber

110 collector

115 target material drop generator

120 nozzle

125 droplet catcher

130 first buffer gas supply

135 second buffer gas supply

140 gas outlet

200 exposure device

205a optical element

205b optical element

205c optical element/mask

205d optical element

205e optical element

210 base plate

300 excitation laser source

310 laser generator

320 laser guide optical element

330 focusing device

510 actual circuit pattern

515 non-printable fine pattern

520 actual circuit pattern

525 non-printable fine patterns

900 computer system

901 computer

902 keyboard

903 mouse

904 Screen

905 optical disk drive

906 magnetic disk driver

911 processor

912 ROM

913 random access memory

914 hard disk

915 bus

921 optical disk

922 magnetic disk

S601 step

S602 step

S603 step

S604 step

S605 step

S606 step

S607 step

S608 step

MF main board

BF baseboard

DP1 DP2 damper

PP1 PP2 base plate

DP target droplet

LR1 laser

LR2 excitation laser/pulse laser

Distance D1

L1 length

L2 length

L3 length

P1 pitch

P2 pitch

P3 pitch

Detailed Description

It is to be understood that the following disclosure provides many different embodiments, or examples, for implementing different features of the disclosure. Specific embodiments or examples of components and arrangements are described below to simplify the present disclosure. Of course, these examples are merely examples and are not intended to be limiting. For example, the dimensions of the elements are not limited to the disclosed ranges or values, but may depend on the processing conditions and/or desired properties of the device. Furthermore, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. Various features may be arbitrarily drawn in different scales for simplicity and clarity.

Furthermore, spatially relative terms such as "below … …," "below … …," "lower," "above … …," "upper," and the like may be used herein for ease of description to describe one element or feature's relationship to another element or feature as illustrated in the figures. Spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly as such. Further, the term "made of … …" may mean either "comprising" or "consisting of … …". In the present disclosure, the term "one of A, B and C" means "A, B and/or C" (A, B, C, A and B, A and C, B and C, or a and B and C), and does not mean one element from a, one element from B, and one element from C, unless otherwise specified.

To address the trend of moore's law towards reducing the size of wafer elements and the demand for higher computing power wafers for mobile electronic devices, such as smart phones with computer functionality, multitasking capability, or even workstation capability, smaller wavelength photolithography exposure systems are needed. Extreme Ultraviolet (EUV) photolithography uses an EUV radiation source to emit EUV light having a wavelength of 13.5 nm. Since this wavelength is also in the X-ray radiation wavelength region, the euv radiation source is also referred to as a soft X-ray radiation source. Extreme ultraviolet light emitted from laser-produced plasma (LPP) is collected by a collection mirror and reflected toward a patterned mask.

Fig. 1 is a schematic view of an euv lithography tool having an LPP-based euv radiation source, according to some embodiments of the present disclosure. The euv lithography system includes an euv radiation source 100 for generating euv radiation, an exposure apparatus 200 (such as a scanner), and an excitation laser source 300. As shown in fig. 1, in some embodiments, the euv radiation source 100 and the exposure apparatus 200 will be mounted on a Main Floor (MF) of a clean room, while the excitation laser source 300 is mounted in a Base Floor (BF) located below the main floor. Each of the euv radiation source 100 and the exposure apparatus 200 is placed on the base plates PP1 and PP2 via dampers DP1 and DP2, respectively. The euv radiation source 100 and the exposure apparatus 200 are coupled to each other via a coupling mechanism, which may comprise a focusing unit.

The euv lithography tool is designed to expose a photoresist layer to euv light (also interchangeably referred to herein as euv radiation). The photoresist layer is a material sensitive to extreme ultraviolet light. The extreme ultraviolet lithography system generates extreme ultraviolet light, such as extreme ultraviolet light having a wavelength ranging between about 1nm and 100nm, using an extreme ultraviolet radiation source 100. In a particular embodiment, the extreme ultraviolet radiation source 100 generates extreme ultraviolet light having a wavelength centered at about 13.5 nm. In this embodiment, the EUV radiation source 100 utilizes a laser-generated plasma mechanism to generate EUV radiation.

The exposure apparatus 200 includes various reflective optical elements such as a concave mirror/convex mirror/flat mirror, a mask holding mechanism including a mask stage, and a wafer holding mechanism. EUV radiation generated by EUV radiation source 100 is directed through reflective optics onto a mask that is secured to a mask stage. In some embodiments, the mask stage includes an electrostatic chuck (e-chuck) for securing the mask.

Fig. 2 is a simplified schematic diagram of details of an extreme ultraviolet lithography tool illustrating exposure of a photoresist coated substrate 210 with a patterned beam of extreme ultraviolet light, in accordance with an embodiment of the present disclosure. The exposure apparatus 200 is an integrated circuit lithography tool such as a stepper, scanner, step and scan system, direct write system, apparatus using contact and/or proximity masks, or the like, having, for example, one or more optical elements 205a, 205b for illuminating a patterned optical element 205c (such as a reticle) with an extreme ultraviolet beam to generate a patterned beam, and one or more reduction projection optical elements 205d, 205e for projecting the patterned beam onto a substrate 210. Mechanical components (not shown) may be provided for generating controlled relative motion between the substrate 210 and the patterned optical element 205 c. As further shown in fig. 2, the euv lithography tool includes an euv light source 100, the euv light source 100 including an euv light radiator ZE in the chamber 105 that emits an euv light that is reflected by the collector 110 along a path into the exposure apparatus 200 to illuminate the substrate 210.

As used herein, the term "optical element" is meant to be broadly interpreted to include, but is not necessarily limited to, one or more elements that reflect and/or transmit and/or manipulate incident light, and includes, but is not limited to, one or more lenses, windows, filters, wedges, prisms, rasters, graded mirrors, transmission fibers, gauges, diffusers, homogenizers, and other instrument elements, apertures, axicons or mirrors, including multilayer mirrors, near normal incidence mirrors, grazing incidence mirrors, specular mirrors, diffuse mirrors, and combinations thereof. Furthermore, unless otherwise specified, the term "optical element" as used herein is directed to, but not limited to, an element that operates alone or beneficially within one or more specific wavelength ranges, such as at extreme ultraviolet output light wavelengths, illuminating laser wavelengths, wavelengths suitable for metrology, or any other specific wavelength.

Because the gas molecules absorb extreme ultraviolet light, the lithography system used for extreme ultraviolet lithography patterning is maintained in a vacuum or low pressure environment to avoid loss of extreme ultraviolet intensity.

In the present disclosure, the terms mask, light mask and main mask are used interchangeably. In the present disclosure, the patterned optical element 205c is a reflective mask. In an embodiment, the reflective mask 205c comprises a substrate of a suitable material, such as a low thermal expansion material or fused silica. In various embodiments, the material comprises TiO2Doped SiO2Or other suitable material having a low thermal expansion. The reflective mask 205c includes a plurality of reflective layers (e.g., reflective layers) immersed on the substrate. The plurality of reflective layers includes a plurality of film pairs, such as Molybdenum-Silicon (Mo/Si) film pairs (e.g., a layer of Molybdenum above or below a layer of Silicon in each film pair). Alternatively, the multiple reflective layers may comprise a Molybdenum beryllium (Mo/Be) film pair, or other suitable material to highly reflect extreme ultraviolet light. The mask 205c may further include a capping layer, such as a Ruthenium (Ru) layer, disposed on the plurality of reflective layers for protection. The mask further includes an absorber layer, such as a tantalum boron nitride (TaBN) layer deposited on the plurality of reflective layers. The absorber layer is patterned to define a layer of an Integrated Circuit (IC). Alternatively, another layer may be deposited on the reflective layers and patterned to define a layer of the integrated circuit, forming the extreme ultraviolet phase shift mask.

In various embodiments of the present disclosure, the photoresist-coated substrate 210 is a semiconductor wafer, such as a silicon wafer or other type of wafer to be patterned.

In some embodiments, the euv lithography tool further comprises or is integrated with (or coupled to) other modules.

As shown in fig. 1, euv radiation source 100 includes a target droplet generator 115 and an LPP collector 110 surrounded by chamber 105. In various embodiments, the target droplet generator 115 comprises a reservoir for holding a source material and a nozzle 120 through which target droplets DP of the source material are supplied into the chamber 105.

In some embodiments, the target Droplet (DP) is a droplet of tin (Sn), lithium (Li), or an alloy of Sn and Li. In some embodiments, the target droplets DP each have a diameter ranging from about 10 micrometers (μm) to about 100 μm. For example, in one embodiment, the target droplets DP are tin droplets having a diameter of about 10 μm to about 100 μm. In other embodiments, the target droplet DP is a tin droplet having a diameter of about 25 μm to about 50 μm. In some embodiments, target droplets DP are provided through nozzle 120 at a rate ranging from about 50 droplets per second (i.e., a firing frequency of about 50 Hz) to about 50000 droplets per second (i.e., a firing frequency of about 50 kHz).

Referring back to fig. 1, the excitation laser LR2 generated by excitation laser source 300 is a pulsed laser. Pulsed laser LR2 is generated by excitation laser source 300. The excitation laser source 300 may include a laser generator 310, laser guide optics 320, and a focusing device 330. In some embodiments, laser source 310 includes carbon dioxide (CO)2) Or neodymium-doped yttrium aluminum garnet (neodymium-doped yttrium aluminum garnet; YAG) laser source having a wavelength in the infrared region of the electromagnetic spectrum. For example, in one embodiment, laser source 310 has a wavelength of 9.4 μm or 10.6 μm. The laser light LR1 generated by the laser generator 300 is guided by the laser guiding optical element 320 and focused by the focusing device 330 into excitation laser light LR2 and subsequently introduced into the euv radiation source 100.

In some embodiments, excitation laser LR2 includes a preheat laser and a main laser. In such embodiments, a pre-heat laser pulse (interchangeably referred to herein as a "pre-pulse") is used to heat (pre-heat) a given target droplet to produce a low density target jet with a plurality of smaller droplets, which is then heated (or re-heated) by a pulse from a main laser, resulting in increased euv light emission.

In various embodiments, the preheat laser pulse has a spot size of 100 μm or less, and the main laser pulse has a spot size in a range of about 150 μm to about 300 μm. In some embodiments, the preheat laser and the main laser pulse have pulse durations ranging from about 10ns to about 50ns, and pulse frequencies ranging from about 1kHz to about 100 kHz. In various embodiments, the preheat laser and the main laser have an average power ranging from about 1 kilowatt (kilowatt) to about 50 kW. In one embodiment, the pulse frequency of excitation laser LR2 is matched to the firing frequency of target drop DP.

Excitation laser LR2 is directed through a window (or lens) into excitation area ZE. The window is made of a suitable material that is substantially transparent to the laser beam. The generation of the pulsed laser light is synchronized with the ejection of the target droplet DP through the nozzle 120. The pre-pulse heats and converts the target droplets into a low density target jet as the target droplets move through the excitation region. The delay between the pre-pulse and the main pulse is controlled to allow the target jet to form and expand to an optimal size and geometry. In various embodiments, the pre-pulse and the main pulse have the same pulse duration and peak power. When the main pulse heats the target jet, high temperature plasma is generated. The plasma emits EUV radiation which is collected by a collector mirror 110. The collector 110 further reflects and focuses the euv radiation for a lithographic exposure process performed by the exposure apparatus 200. The droplet catcher 125 is used to catch excess target droplets. For example, some target droplets may be intentionally missed by the laser pulse.

Referring back to fig. 1, the collector 110 is designed with appropriate coating materials and shapes to act as an euv collecting, reflecting and focusing mirror. In some embodiments, the collector 110 is designed to have an elliptical geometry. In some embodiments, the coating material of collector 100 resembles the reflective multilayer of an extreme ultraviolet mask. In some embodiments, the coating material of the collector 110 includes a plurality of reflective layers (such as a plurality of molybdenum/silicon film pairs) and may further include a capping layer (such as ruthenium) coated on the plurality of reflective layers to substantially reflect the extreme ultraviolet light. In some embodiments, the collector 110 may further include a grating structure designed to effectively scatter the laser beam directed onto the collector 110. For example, a silicon nitride layer is coated on the collector 110 and patterned to have a grating pattern.

In this euv radiation source, the plasma caused by the application of the laser produces physical debris, such as ions, gases and atoms of the droplets, and the required euv radiation. It is necessary to prevent material from collecting on the collector 110 and also to prevent physical debris from leaving the chamber 105 and entering the exposure apparatus 200.

As shown in fig. 1, in the present embodiment, buffer gas is supplied from a first buffer gas supply 130 through an aperture in the collector 110 through which laser pulses are supplied to the tin droplets. In some embodiments, the buffer gas is H2、He、Ar、N2Or another inert gas. In certain embodiments, the H generated by the ionization of the buffer gas acts as an H radical2Can be used for cleaning purposes. Buffer gas may also be provided toward the collector 110 and/or around the edges of the collector 110 via one or more second buffer gas supplies 135. In addition, the chamber 105 includes one or more gas outlets 140 to vent the buffer gas out of the chamber 105.

Hydrogen has a low absorption for extreme ultraviolet radiation. The hydrogen gas reaching the coated surface of the collector 110 chemically reacts with the droplet metal to form a hydride, such as a metal hydride. When tin (Sn) is used as the liquid droplet, stannane (SnH) is formed as a gaseous byproduct of the extreme ultraviolet generating process4). Gas SnH4And then withdrawn through the outlet 140.

Fig. 3 illustrates a cross-sectional view of an extreme ultraviolet mask (or primary mask) in accordance with an embodiment of the present disclosure. The euv mask comprises a multilayer stack 20 ("molybdenum/silicon stack 20") of a molybdenum layer 17 and a silicon layer 19. The molybdenum/silicon stack 20 comprises alternating layers of molybdenum 17 and silicon 19 disposed on the first main surface of the mask substrate 10. A cover layer 25 is disposed on the molybdenum/silicon stack 20 and an extreme ultraviolet absorbing layer or absorber 30 is disposed on the cover layer 25. An anti-reflective layer 35 is disposed on the extreme ultraviolet absorbing layer 30. In some embodiments, the backside conductive layer 15 is optionally deposited on a second major surface of the mask substrate 10 opposite the first major surface. In some embodiments, the conductive layer 15 is used to hold the mask for photolithography operations by electrostatic clamping. In one embodiment, conductive layer 15 is formed from a ceramic compound including chromium nitride or any other material used for electrostatic clamping masks.

In some embodiments of the present disclosure, the mask substrate 10 is made of a low thermal expansion glass material including titanium oxide doped silicon dioxide, or any other suitable low thermal expansion material such as quartz, silicon carbide, black diamond and/or other low thermal expansion substances known in the art that may minimize image distortion due to mask heating in extreme ultraviolet lithography environments. The mask substrate 10 has a low defect level, such as a high purity single crystal substrate, and a low level of surface roughness as measured using an atomic force microscope.

In some embodiments of the present disclosure, the multilayer stack of alternating molybdenum layers 17 and silicon layers 19 deposited on the masking substrate 10 provides a fertilene resonant reflection across the interface between the molybdenum and silicon layers having different refractive indices by using an appropriate thickness for each layer inside the multilayer. High quality reflection relies on constructive interference through phase matching and the summation of the intensities of the light reflected from different layers. The thickness of the layer depends on the wavelength of the incident light and the angle of incidence to the euv mask. For a particular angle of incidence, the thicknesses of the layers of the multilayer stack 20 are selected to achieve maximum constructive interference for light reflected at different interfaces of the multilayer stack 20. Therefore, a uniform thickness and low surface roughness of each layer of the multi-layer stack 20 is required for high quality Fresnel resonant reflections (Fresnel resilient reflections). In some embodiments of the present disclosure, each layer of the multi-layer stack 20 is about 5nm to about 7nm thick.

In some embodiments of the present disclosure, the multilayer stack 20 includes alternating layers of molybdenum 17 and beryllium 19. In some embodiments of the present disclosure, the number of layers in the multi-layer stack 20 ranges between 20 and 100, although any number of layers is permissible, so long as sufficient reflectivity is maintained for the imaging target substrate. In some embodiments, the reflectivity is greater than about 70%. In some embodiments of the present disclosure, the molybdenum/silicon multilayer stack 20 comprises about 30 to about 60 alternating layers of molybdenum and silicon. In other embodiments of the present disclosure, the molybdenum/silicon multilayer stack 20 comprises from about 40 to about 50 alternating layers of molybdenum and silicon, respectively.

In some embodiments, the capping layer 25 formed over the multi-layer stack 20 prevents oxidation of the multi-layer stack 20. In some embodiments of the present disclosure, the capping layer 25 is formed of a material including ruthenium. In some embodiments of the present disclosure, capping layer 25 has a thickness of about 7 nm.

An extreme ultraviolet absorbing layer or absorber 30 formed over the cover layer 25 absorbs radiation having a wavelength in the extreme ultraviolet wavelength range. In some embodiments of the present disclosure, the euv absorbing layer 30 is formed of a single layer or multiple layers. In some embodiments of the present disclosure, the euv absorbing layer 30 is formed of a material including a tantalum compound. In some embodiments of the present disclosure, the euv absorbing layer 30 is formed of TaN or TaBN. In some embodiments of the present disclosure, the material used for manufacturing the euv absorbing layer 30 may also include molybdenum, palladium, zirconium, nickel silicide, titanium nitride, chromium oxide, aluminum copper alloy, or other suitable materials. The thickness of the extreme ultraviolet absorbing layer 30 is not limited as long as the total reflectance of the extreme ultraviolet mask is greater than 70%.

In some embodiments of the present disclosure, the anti-reflective layer 35 disposed over the euv absorbing layer 30 is formed of a material including silicon O2、SiN、TaBO、TaO5、Cr2O3ITO (indium tin oxide), or any suitable material. The anti-reflective layer 35 reduces reflection in the lithographic radiation.

In some embodiments, the euv mask is a reflective mask, and the mo/si multilayer stack 20 reflects euv light when the absorber layer 30 absorbs euv light. As shown in fig. 3, one or more circuit patterns 50 are formed on the euv mask by partially removing the anti-reflection layer 35 and the absorption layer 30. In addition, a black border region 70 surrounding the circuit pattern region and penetrating to the substrate is formed. Further, a particle attracting region (pattern) 60 is formed in a region where no circuit pattern is formed.

The circuit pattern is formed using one or more lithography (e.g., electron beam lithography) and etching operations. During the etching operation, particles may fall on the surface of the euv mask. The inventors of the present disclosure have found that when the surface is a ruthenium surface (capping layer 25), the particles are more likely to deposit on the ruthenium surface than the surface of the absorber 30 and/or the anti-reflective layer 35.

In some embodiments, the area in which no circuitry is formed is covered by absorber 30 so that extreme ultraviolet light is not reflected. In view of the above findings, an euv mask according to embodiments of the present disclosure includes one or more particle attracting regions 60 in which a fine pattern of the ruthenium surface of the exposed capping layer 25 is formed as shown in fig. 3.

When extreme ultraviolet light is applied to the extreme ultraviolet mask, a local plasma is generated, thus negatively charging the extreme ultraviolet mask. Thus, a conductive layer such as a ruthenium layer attracts more particles than a non-conductive or low conductive layer.

The fine pattern 65 in the particle attracting region 60 has a size smaller than the resolution limit of the extreme ultraviolet exposure apparatus, and therefore the fine pattern 65 is not printable as a photoresist pattern on the wafer.

In some embodiments, the fine pattern 65 is a line-and-space pattern having a pitch less than λ/(2NA), where λ is the wavelength of the extreme ultraviolet light and NA is the numerical aperture (numerical aperture) of the extreme ultraviolet exposure apparatus. In some embodiments, NA ranges from about 0.2 to about 0.4. In some embodiments, the line and/or pitch width of the line and pitch pattern is in a range from about 2nm to about 20nm, and in other embodiments, the line and/or pitch width is in a range from about 5nm to about 10 nm. The pattern size in a pattern layout generally refers to the actual circuit pattern size formed on a wafer. Therefore, when the extreme ultraviolet mask is a 4-fold mask, the pattern size of the extreme ultraviolet mask is four times the pattern size on the semiconductor wafer. In the present disclosure, unless otherwise specified, the pattern size is the size of the pattern as formed on the wafer. Thus, for example, the pattern on the wafer has a dimension of about 10nm and the extreme ultraviolet mask has a dimension of about 40 nm.

In other embodiments, the fine pattern 65 is of a size smaller than

Figure BDA0002186900380000121

The pitch of the hole pattern. In some embodiments, the diameter of the hole pattern is in a range from about 2nm to about 20nm, and in other embodiments, the diameter is in a range from about 5nm to about 10 nm.

In some embodiments, as shown in FIG. 4, the distance D1 between the circuit pattern to be printed and the particle attracting region 60 is equal to or greater than about 0.5 μm on the mask. In certain embodiments, D1 is in the range of about 0.5 μm to about 5.0 μm on the mask.

Fig. 5A illustrates a non-printable fine pattern of the particle attracting region 60 according to one embodiment of the present disclosure, and fig. 5B illustrates a non-printable fine pattern according to another embodiment of the present disclosure.

In some embodiments, the non-printable fine patterns, whose bottom ruthenium surface is exposed, have a square or circular pattern on the euv mask (having a size smaller than a threshold size (e.g., resolution limit)) and are periodically arranged in a matrix, as shown in fig. 5A. In some embodiments, the non-printable fine patterns 515 have lengths L1 and L2, respectively, and are arranged at pitches P1 and P2. In certain embodiments, L1 ═ L2 and P1 ═ P2. As shown in fig. 5A, the non-printable fine pattern 615 is generated to be located at a distance D1 from the actual circuit pattern 510. In some embodiments, L1 and/or L2 range from about 2nm to about 20 nm; and in other embodiments, L1 and/or L2 range from about 5nm to about 10 nm. In some embodiments, P1 and/or P2 range from about 4nm to about 100 nm; and in other embodiments, P1 and/or P2 range from about 10nm to about 50 nm.

In fig. 5B, a line and pitch pattern periodically arranged in a direction is used as the non-printable fine pattern 525. The ruthenium surface was exposed at the bottom of the pattern. The line and pitch pattern has a width (length) L3 and a pitch P3 that are less than a threshold (e.g., resolution limit), as shown in fig. 5B. The pattern density of the non-printable dummy patterns 525 may be defined as (L3/P3). As shown in fig. 5B, a non-printable dummy pattern 525 is generated to have a distance D1 from the actual circuit pattern 520. In some embodiments, L3 ranges from about 2nm to about 20 nm; and in other embodiments, L3 ranges from about 5nm to about 10 nm. In some embodiments, P3 ranges from about 4nm to about 100 nm; and in other embodiments, P3 ranges from about 10nm to about 50 nm.

In some embodiments, the total area in which the ruthenium surface is exposed (including the circuit pattern area and the particle attracting area) is equal to or greater than 70% of the area of the euv mask; and in other embodiments equal to or greater than 80% of the area of the euv mask.

In some embodiments, one or more particles fall on the particle attraction region. In some embodiments, the total number of particles is less than 100. The particles include metal particles (Ti, Mo, Al, Ru, Ta, Fe and/or Ni), semiconductor particles (e.g., silicon), organic particles, ceramic or dielectric particles (silicon oxide, silicon nitride), and the like.

Figure 6 illustrates a flow chart of a method of fabricating an extreme ultraviolet mask for a semiconductor manufacturing operation, in accordance with an embodiment of the present disclosure. It should be appreciated that during a sequential manufacturing process, one or more additional operations may be provided before, during, and after the various stages in FIG. 6; and some of the operations described below may be substituted or eliminated for additional embodiments of the methods. The order of operations/processes may be interchanged.

In step S601 of fig. 6, a circuit pattern layout of one layer in the semiconductor device is designed by a mask design tool (e.g., an Electronic Design Automation (EDA) tool). A circuit pattern layout of one layer is used for an extreme ultraviolet mask. In some embodiments, a multiple patterning method is utilized, and in this case, the circuit pattern layout of one layer is divided into multiple euv masks. The circuit pattern layout is typically represented by polygon data in, for example, GDS-II stream format or in Open Artwork System exchange Standard format.

At step S602, circuit pattern layout data created by a mask design tool is acquired by an euv mask data generating apparatus. In some embodiments, the euv mask data generating device is a different computer system than the mask design tool; and in other embodiments the device is part of a mask design tool.

Subsequently, at step S603, one or more non-pattern regions that do not include any circuit pattern and are equal to or larger than a threshold size are identified. In some embodiments, the threshold size is 1 μm2、5μm2、10μm2Or 100 μm2Or 1 μm2To 100 μm2All numbers in between. When identifying a region, the region is calculated by avoiding a boundary region within a distance D1 from the circuit pattern region.

At step S604, a fine pattern of the particle attracting region is generated for the large non-pattern region identified at step S603.

Subsequently, at step S605, the original circuit pattern layout data and the fine pattern data of the particle attraction area are combined to generate mask drawing data (mask drawing data) for the e-beam lithography tool. In some embodiments, the e-beam lithography tool requires its own data format, and in this case, performs a format conversion operation on the mask map data.

At step S606, a photoresist layer formed on the euv mask blank is exposed with an electron beam according to the mask pattern data. Subsequently, the exposed photoresist layer is developed with a developing solution at step S607, and by using the developed photoresist pattern as an etching mask, the antireflection layer 35 and the absorber 30 are etched by dry and/or wet etching, and a mask pattern is formed on the mask substrate. Subsequently, at S608, a mask check and mask repair operation is performed. In some embodiments, the particle attracting region 60 is excluded from the inspection region during a masking inspection operation. Further, in some embodiments, in a mask repair operation, defect patterns and particles disposed in the particle attracting region 60 are excluded from the repair operation.

Fig. 7A and 7B illustrate a photomask data generating apparatus according to an embodiment of the present disclosure. Fig. 7A is a schematic diagram of a computer system performing a photomask data generation process according to one or more embodiments as described herein. All or a portion of the processes, methods and/or operations of the embodiments described above may be implemented using computer hardware and computer programs executing thereon. In FIG. 7A, computer system 900 has a computer 901 that includes a compact disk read-only memory (e.g., CD-ROM or DVD-ROM) drive 905 and disk drive 906, a keyboard 902, a mouse 903, and a screen 904.

Fig. 7B is a diagram illustrating an internal configuration of the computer system 900. In fig. 7B, the computer 901 includes, in addition to the optical disk drive 905 and the magnetic disk drive 906: one or more processors 911 such as a Micro Processing Unit (MPU); a ROM 912 in which generation such as a boot program is stored; a Random Access Memory (RAM) 913 connected to the MPU 911 and temporarily storing therein an application program and providing a temporary storage area; a hard disk 914 in which application programs, system programs, and data are stored; and a bus 915 to which the MPU 911, ROM 912, and the like are connected. It should be noted that computer 901 may include a network card (not shown) for providing connectivity to a LAN.

A program for causing the computer system 900 to execute the functions of the light mask data generating apparatus in the above embodiments may be stored in the optical disk 921 or the magnetic disk 922, the optical disk 921 or the magnetic disk 922 is inserted into the optical disk drive 905 or the magnetic disk drive 906, and then transferred to the hard disk 914. Alternatively, the program may be transferred to the computer 901 via a network (not shown) and stored in the hard disk 914. The programs are loaded into RAM 913 during execution. The program may be loaded from the optical disk 921 or the magnetic disk 922, or directly from the network.

The program does not necessarily have to include, for example, an Operating System (OS) or a third-party program to cause the computer 901 to perform the functions of the light mask data generating apparatus in the foregoing embodiments. The program may include only a command portion for calling the appropriate function (module) in the controlled mode and obtaining the desired result.

In some embodiments, in a program, a function realized by the program does not include a function that can be realized only by hardware. In some embodiments, for example, in an acquisition unit that acquires information or in an output unit that outputs information, a function that can be realized only by hardware (such as a network interface) is not included in the functions realized by the above-described programs. Further, the computer that executes the program may be a single computer or may be a plurality of computers.

Furthermore, in some embodiments, all or part of the process for implementing the function of the photomask data generating apparatus is part of another process for a photomask manufacturing process. Further, in some embodiments, all or part of the program for realizing the function of the light mask data generating device is realized by ROM composed of, for example, a semiconductor device.

FIG. 8 illustrates a cross-sectional view of an extreme ultraviolet mask, according to another embodiment of the present disclosure. Materials, configurations, dimensions, processes and/or operations explained with respect to the above embodiments may be used for the following embodiments, and detailed explanations thereof may be omitted.

In the above embodiment, the one or more particle attracting regions 60 include a fine pattern 65 (see fig. 3) that exposes the ruthenium surface of the capping layer 25. In the following embodiments, a thin conductive layer 80 is formed in the particle attracting region, as shown in fig. 8.

A material that sufficiently absorbs or transmits extreme ultraviolet light is used as the conductive layer 80. In some embodiments, conductive layer 80 has a higher conductivity than the topmost layer (other than conductive layer 80). In certain embodiments, the conductive layer 80 has a higher conductivity than the anti-reflective layer 35 and/or the absorption layer 30.

In some embodiments, the conductive layer 80 is made of Ru, Zr, Mo, or other suitable conductive material. In some embodiments, the thickness of the conductive layer 80 is in a range from about 0.2nm to about 2 nm. In some embodiments, the conductive layer 80 is disposed only in the particle attracting region; and in other embodiments, the conductive layer 80 is disposed on the entire upper surface of the anti-reflective layer 35. As shown in fig. 9, in some embodiments, conductive layer 80 is a continuous pattern within each particle attracting region 60. In other embodiments, multiple patterned conductive layers (of the same or different sizes) are disposed in each particle attraction region, as shown in fig. 10, each of the conductive layers 80 being larger than the resolution limit of the euv exposure apparatus used with the euv mask. In other embodiments, the plurality of patterned conductive layers is less than the resolution limit of the euv exposure apparatus.

The euv mask of the above embodiments is used in euv lithography.

Figure 11 illustrates particle counts on the absorber surface and on the ruthenium surface according to embodiments of the disclosure. The particles are counted after the masks are used for different euv exposure tools a and B. As shown in fig. 11, the ruthenium surface is more attractive to the particles than the absorber surface.

It is to be understood that not all advantages need be discussed herein, that no particular advantage is required for all embodiments or implementations, and that other embodiments or implementations may provide different advantages.

According to an aspect of the present disclosure, an Extreme Ultraviolet (EUV) mask includes: a multi-layer molybdenum/silicon stack comprising alternating molybdenum and silicon layers disposed over a first major surface of a mask substrate; a capping layer made of ruthenium and disposed over the multilayer molybdenum/silicon stack; and an absorber layer on the cover layer. The extreme ultraviolet mask includes a circuit pattern region and a particle attracting region, and the cover layer is exposed at a bottom of the pattern of the particle attracting region. In one or more of the above and following embodiments, the pattern in the particle attracting region has a size that is less than a resolution limit of an euv exposure apparatus used with the euv mask. In one or more of the above and below embodiments, the pattern in the particle attraction zone is a line and space pattern. In one or more of the above and following embodiments, the pitch in the line and space pattern is less than λ/(2NA) on the wafer, where λ is the wavelength of the euv light and NA is the numerical aperture of the euv exposure apparatus. In one or more of the above and below embodiments, the at least one particle is disposed on the exposed cover layer in the particle attraction region. In one or more of the above and below embodiments, the circuit pattern region and the particle attracting region are spaced apart from each other by at least about 0.5 μm.

Another aspect according to the present disclosure is a method of manufacturing a semiconductor device, including forming a photoresist pattern on a substrate by using the aforementioned euv mask. In some embodiments, the method further comprises adsorbing at least one particle on the exposed cover layer in the particle attracting region.

According to another aspect of the present disclosure, an Extreme Ultraviolet (EUV) mask includes: a multi-layer molybdenum/silicon stack comprising alternating molybdenum and silicon layers disposed over a first major surface of a mask substrate; a capping layer made of ruthenium and disposed over the multilayer molybdenum/silicon stack; and an absorber layer on the cover layer. The extreme ultraviolet mask includes a circuit pattern region and a particle attracting region, and the conductive layer is formed as the topmost layer over the absorber layer in the particle attracting region. In one or more of the above and below embodiments, the conductive layer has a higher conductivity than the absorber layer. In one or more of the above and below embodiments, the euv mask includes an antireflective layer disposed on the absorber layer, and a conductive layer is disposed on the antireflective layer. In one or more of the above and below embodiments, the conductive layer has a higher conductivity than the antireflective layer. In one or more of the above and below embodiments, the conductive layer is made of ruthenium, zirconium, or molybdenum. In one or more of the above and below embodiments, the thickness of the conductive layer is in a range from about 0.2nm to about 2 nm. In one or more of the above and below embodiments, the at least one particle is disposed on the conductive layer in the particle attraction region. In one or more of the above and below embodiments, the circuit pattern region and the particle attracting region are spaced apart from each other by at least about 0.5 μm. In one or more of the above and below embodiments, the conductive layer is disposed in the circuit pattern area.

According to another aspect of the present disclosure, in a method of fabricating an extreme ultraviolet mask, circuit pattern data is acquired. One or more non-pattern areas are identified. A particle attracting pattern is generated for one or more non-pattern areas. The mask pattern data is generated from the circuit pattern data and the particle attracting pattern. The extreme ultraviolet mask is manufactured using the generated mask map data. In one or more of the above and following embodiments, the method further comprises inspecting the manufactured euv mask, wherein the one or more non-pattern areas are not inspected. In one or more of the above and below embodiments, the particle attracting pattern includes a line and space pattern. In one or more of the above and following embodiments, the pitch in the line and space pattern is less than λ/(2NA) on the wafer, where λ is the wavelength of the euv light and NA is the numerical aperture of the euv exposure apparatus. In one or more of the above and below embodiments, the particle attracting pattern includes a continuous pattern for each of the one or more non-pattern areas. In one or more of the above and following embodiments, the particle attracting pattern includes a plurality of patterns for each of the one or more non-pattern areas, each of the plurality of patterns being greater than a resolution limit of an euv exposure apparatus used with the euv mask. In a method of manufacturing a semiconductor device, a photoresist pattern is formed on a substrate by using an extreme ultraviolet mask according to one or more of the above and below embodiments. In one or more of the above and following embodiments, at least one particle is adsorbed on the exposed capping layer in the particle attracting region.

The foregoing outlines several embodiments or features of embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments or examples described herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

25页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:极紫外光光掩模及其制造方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类