Device manufacturing method

文档序号:1525327 发布日期:2020-02-11 浏览:4次 中文

阅读说明:本技术 器件制造方法 (Device manufacturing method ) 是由 R·拉赫曼 H·E·采克利 C·D·格乌斯塔 于 2018-05-07 设计创作,主要内容包括:一种器件制造方法,所述方法包括:获得已经执行曝光步骤和过程步骤的多个衬底的测量数据时间序列;获得与主要在对所述多个衬底中的至少部分执行所述过程步骤时的条件相关的状态数据时间序列;对所述测量数据时间序列和所述状态数据时间序列应用滤波器以获得被滤波的数据;和使用所述被滤波的数据确定将要对后续衬底执行的曝光步骤中施加的校正。(A device manufacturing method, the method comprising: obtaining a time series of measurement data for a plurality of substrates on which the exposure step and the process step have been performed; obtaining a time series of state data relating to conditions prevailing when the process step is performed on at least part of the plurality of substrates; applying a filter to the time series of measurement data and the time series of status data to obtain filtered data; and using the filtered data to determine a correction to be applied in an exposure step to be performed on a subsequent substrate.)

1. A device manufacturing method, the method comprising:

obtaining a time series of measurement data for a plurality of substrates on which the exposure step and the process step have been performed;

obtaining a time series of state data relating to conditions prevailing when the process step is performed on at least part of the plurality of substrates;

applying a filter to the time series of measurement data and the time series of status data to obtain filtered data; and

the filtered data is used to determine the correction to be applied in an exposure step to be performed on a subsequent substrate.

2. The method of claim 1, further comprising:

applying a further filter to the measurement data time series and/or the state data time series to obtain further filtered data; and

using the further filtered data to determine a further correction to be applied in the exposure step to be performed on the subsequent substrate.

3. A method according to claim 1 or 2, wherein applying a filter and/or a further filter comprises applying a polynomial filter having a term in the form of spatial coordinates of the substrate.

4. The method of claim 1 or 2, wherein applying the filter and/or the further filter comprises: transforming the time series of measurement data and the time series of status data into frequency space data, applying a frequency filter to the frequency space data to obtain filtered frequency space data, and transforming the filtered frequency data into the filtered data.

5. The method of claim 1 or 2, wherein applying the filter and/or the further filter comprises: applying a first filter to the measurement data of the time series of measurement data associated with a first region in the substrate, and applying a second filter, different from the first filter, to the measurement data of the time series of measurement data associated with a second region in the substrate.

6. The method of claim 5, wherein the first region is an edge region and the second region is an interior region.

7. A device manufacturing method, the method comprising:

obtaining a time series of measurement data for a plurality of substrates on which the exposure step and the process step have been performed;

applying a filter to the time series of measurement data to obtain filtered data;

using the filtered data to determine a correction to be applied in an exposure step to be performed on a subsequent substrate;

applying a further filter to the time series of measurement data to obtain further filtered data;

using the further filtered data to determine a correction to be applied in an exposure step to be performed on the subsequent substrate.

8. The method of claim 7, further comprising:

obtaining a time series of state data relating to conditions prevailing when the process step is performed on at least part of the plurality of substrates; and is

Wherein applying a filter comprises applying a filter to the measurement data time series and the state data time series to obtain filtered data.

9. A device manufacturing method, the method comprising:

obtaining a time series of measurement data for a plurality of substrates on which the exposure step and the process step have been performed;

obtaining a time series of state data relating to conditions prevailing when the process step is performed on at least part of the plurality of substrates;

transforming the measurement data time series and the state data time series into frequency space data;

determining a filter to be applied to at least one of the measurement data time series and the status data time series based on the frequency space data to obtain filtered data;

applying the filter to the at least one of the measurement data time series and the status data time series to obtain filtered data; and

using the filtered data to determine a correction to be applied in an exposure step to be performed on a subsequent substrate.

10. The method of claim 9, wherein the state data time series includes data relating to performing a plurality of process steps on the substrate, and determining the filter to apply includes selecting a subset of the state data time series that is related to a subset of the process steps to be filtered and used to determine the correction.

11. A device manufacturing method, the method comprising:

obtaining a time series of measurement data for a plurality of substrates on which the exposure step and the process step have been performed;

obtaining a time series of state data relating to conditions prevailing when the process step is performed on at least part of the plurality of substrates;

transforming the measurement data time series and the state data time series into frequency space data; and

a sampling plan to be applied to a subsequent substrate is determined based on the frequency-space data to produce a time series of measurement data.

12. The method of claim 1, wherein the correction is to be applied to correct at least one of overlap, dose, and focus.

13. The method of claim 7, wherein the correction and/or further correction is to be applied to correct at least one of overlap, dose and focus.

14. The method of claim 1, wherein the process step is at least one selected from the group of: an etching process, an annealing process, an implantation process, a deposition process, and a polishing process.

15. A computer program comprising computer readable code means for instructing one or more lithography tools to perform the method according to claim 1.

Technical Field

The present invention relates to device manufacturing using lithographic apparatus and process equipment.

Background

A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. Lithographic apparatus can be used, for example, in the manufacture of Integrated Circuits (ICs). In that case, the patterning device (which is alternatively referred to as a mask or a reticle) may be used to generate a circuit pattern to be formed on an individual layer of the IC. The pattern can be transferred onto a target portion (e.g., comprising a portion of, one die, or several dies) on a substrate (e.g., a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. Typically, a single substrate will contain a network of adjacent target portions that are sequentially patterned. The patterned radiation-sensitive layer is then developed and a process device, such as an etcher, is used to fix the pattern in the substrate.

In order to produce an electronic device, it is necessary to repeat the exposure step and the fixing step a number of times, for example up to 30 times, to produce different device layers. Each layer is applied to one batch (also referred to as a batch) of substrates at a time. To improve yield, i.e. the fraction of devices that are functional or usable, it is known to use measurements performed on a substrate to adjust the exposure of subsequent substrates in the same or later lot to which the same process is applied, e.g. to reduce errors in overlay, focus or CD. The process is referred to as automatic process control. Where measurements on multiple substrates are available, a weighted moving average of the measurements is often used as an input to process control.

However, known APC methods still leave a "fingerprint" across the substrate-variations in parameters such as focus, overlay or CD, and thus there is a need for improved automated process control methods.

Disclosure of Invention

The present invention is directed to an improved automated process control method for use in a lithographic manufacturing process.

In a first aspect, the present invention provides a device manufacturing method, the method comprising:

obtaining a time series of measurement data for a plurality of substrates on which the exposure step and the process step have been performed;

obtaining a time series of state data relating to conditions prevailing when the process step is performed on at least part of the plurality of substrates;

applying a filter to the measurement data time series and the status data time series to obtain filtered data; and

the filtered data is used to determine the correction to be applied in an exposure step to be performed on a subsequent substrate.

In a second aspect, the present invention provides a device manufacturing method, the method comprising:

obtaining a time series of measurement data for a plurality of substrates on which the exposure step and the process step have been performed;

applying a filter to the time series of measurement data to obtain filtered data;

using the filtered data to determine a correction to be applied in an exposure step to be performed on a subsequent substrate;

applying a further filter to the time series of measurement data to obtain further filtered data; and

using the further filtered data to determine a further correction to be applied in the exposure step to be performed on the subsequent substrate.

In a third aspect, the present invention provides a device manufacturing method, the method comprising:

obtaining a time series of measurement data for a plurality of substrates on which the exposure step and the process step have been performed;

obtaining a time series of state data relating to conditions prevailing when the process step is performed on at least part of the plurality of substrates;

transforming the measurement data time series and the state data time series into frequency space data;

determining a filter to be applied to at least one of the measurement data time series and the state data time series based on the frequency space data to obtain filtered data;

applying the filter to the at least one of the measurement data time series and the status data time series to obtain filtered data; and

using the filtered data to determine a correction to be applied in an exposure step to be performed on a subsequent substrate.

In a fourth aspect, the present invention provides a device manufacturing method, the method comprising:

obtaining a time series of measurement data for a plurality of substrates on which the exposure step and the process step have been performed;

obtaining a time series of state data relating to conditions prevailing when the process step is performed on at least part of the plurality of substrates;

transforming the measurement data time series and the state data time series into frequency space data; and

a sampling plan to be applied to a subsequent substrate to generate a time series of measurement data is determined based on the frequency-space data.

Drawings

Embodiments of the invention will now be described, by way of example, with reference to the accompanying drawings, in which:

FIG. 1 depicts a lithographic apparatus and other apparatus that comprise a manufacturing facility for semiconductor devices;

FIG. 2 depicts a conventional automatic process control method;

FIG. 3 depicts the principle of operation of an automatic process control method according to an embodiment of the invention;

FIG. 4 depicts a specific application of an autonomous process control method according to an embodiment of the present invention;

FIG. 5 depicts a specific application of an autonomous process control method according to an embodiment of the present invention;

FIG. 6 depicts a specific application of an autonomous process control method according to an embodiment of the present invention;

FIG. 7 depicts a specific application of an autonomous process control method according to an embodiment of the present invention;

FIG. 8 depicts a process for setting an automatic process control method according to an embodiment of the invention;

FIG. 9 depicts a simulated example of the effect of a process parameter on a substrate fingerprint.

Detailed Description

Before describing embodiments of the present invention in detail, it is instructive to present an example environment in which embodiments of the present invention may be implemented.

FIG. 1 illustrates a typical layout of a semiconductor manufacturing facility. The lithographic apparatus 100 applies a desired pattern onto a substrate. Lithographic apparatus is used, for example, in the manufacture of Integrated Circuits (ICs). In that case, the patterning device MA, which is alternatively referred to as a mask or a reticle, comprises a circuit pattern of features to be formed on an individual layer of the IC (often referred to as "product features"). The pattern is exposed 104 via a patterning device onto a layer of radiation-sensitive material (resist) provided on a substrate "W" (e.g. a silicon wafer), transferred onto a target portion (e.g. comprising part of, one die or several dies) on the substrate. Typically, a single substrate will contain a network of adjacent target portions that are sequentially patterned.

Known lithographic apparatus irradiate each target portion by illuminating the patterning device while simultaneously positioning the target portion of the substrate at an image position of the patterning device. The target portion of the substrate that is irradiated is referred to as the "exposure field" or simply "field". The layout of the field on the substrate is typically a network of adjacent rectangles aligned according to a Cartesian (Cartesian) two-dimensional coordinate system (e.g., aligned along X and Y axes, the two axes being orthogonal to each other).

A requirement of a lithographic apparatus is that the desired pattern is accurately reproduced on the substrate. The location and size of the product features to be applied need to be within certain tolerances. Position errors can occur due to overlay errors (often referred to as "overlay"). Overlay is the error in placing a first product feature in a first layer relative to a second product feature in a second layer. The lithographic apparatus minimizes overlay errors by accurately aligning each wafer with a reference prior to patterning. This is done by measuring the position of an alignment mark applied to the substrate. Based on the alignment measurements, the substrate position is controlled during the patterning process to prevent the occurrence of overlay errors.

Errors in the Critical Dimension (CD) of product features may occur when the applied dose associated with exposure 104 is not within specification. For this reason, the lithographic apparatus 100 must be able to accurately control the dose of radiation applied to the substrate. CD errors may also occur when the substrate is not properly positioned relative to the focal plane associated with the pattern image. Focus position errors are typically associated with non-planarity of the substrate surface. The lithographic apparatus minimizes these focus position errors by measuring the substrate surface topography or topology using level sensors prior to patterning. Substrate height correction is applied during subsequent patterning to ensure proper imaging (focusing) of the patterning device onto the substrate.

To verify overlay and CD errors associated with the photolithography process, the patterned substrate is inspected by the throughput device 140. A common example of a metrology apparatus is a scatterometer. Scatterometers routinely measure characteristics of dedicated metrology targets. These metrology targets represent product features, except that the dimensions of the metrology targets are typically large to allow accurate measurements. Scatterometers measure overlay by detecting asymmetry of the diffraction pattern associated with an overlay metrology target. Critical dimensions are measured by analysis of diffraction patterns associated with a CD metrology target. Another example of a metrology tool is an electron beam (e-beam) based inspection tool, such as a Scanning Electron Microscope (SEM).

Within a semiconductor manufacturing facility, the lithographic apparatus 100 and the metrology apparatus 140 form part of a "lithography cell" or "lithography cluster". The lithography cluster also includes a coating apparatus 108 for applying a photoresist to the substrate W, a baking apparatus 110, a developing apparatus 112 for developing an exposure pattern into a solid resist pattern, an etching station 122, an apparatus 124 for performing a post-etch annealing step, and possibly further processing apparatus 126, etc. The metrology apparatus is configured to inspect the substrate after development (112) or after additional processing (e.g., etching). The various devices within the lithography unit are controlled by a supervisory control system SCS which issues control signals 166 to control the lithography apparatus via the lithography apparatus control unit LACU 106 to perform recipe R. The SCS allows for different devices to be operated, resulting in maximum throughput and product yield. An important control mechanism is the feedback 146 of the metrology tool 140 to the various tools (via the SCS), and in particular to the lithographic apparatus 100. Based on the characteristics of the measurement feedback, a corrective action is determined to improve the processing quality of subsequent substrates.

The performance of a lithographic apparatus is typically controlled by and corrected by a method such as Automatic Process Control (APC) control as described in, for example, US2012008127a 1. Automated process control techniques use measurements of metrology targets applied to a substrate. A Manufacturing Execution System (MES) schedules APC measurements and communicates the results of the measurements to the data processing unit. The data processing unit converts the characteristics of the measurement data into a recipe comprising instructions for the lithographic apparatus. The method is very effective for suppressing drift phenomena associated with the lithographic apparatus.

Processing metrology data to produce calibration actions to be performed by processing equipment is important to semiconductor manufacturing. In addition to metrology data, characteristics of individual patterning devices, substrates, processing equipment, and other background data may also be required to further optimize the manufacturing process. The framework in which metrology and background data available as a whole is used to optimize the lithographic process is often referred to as part of the overall lithography. For example, background data related to CD errors on a reticle may be used to control various equipment (lithography equipment, etch stations) so that the CD errors will not affect the yield of the manufacturing process. Subsequent metrology data may then be used to verify the validity of the control strategy and corrective actions may be further determined.

Automated process control is often aimed at controlling (e.g., reducing) the batch-to-batch variation of substrate fingerprints in process parameters such as overlay, focus, CD. A "fingerprint" is a variation of a parameter (or parameter error) over an area. An intrafield fingerprint is a variation across a field, and in some cases, for all fields on the substrate. A substrate fingerprint is a variation across the entire substrate. In some cases, the substrate fingerprint may be separated into an intra-field fingerprint and an inter-field fingerprint. The present invention relates to all types of fingerprints.

As depicted in fig. 2, conventional APC correction for High Volume Manufacturing (HVM) scenarios is based on feedback. From a plurality of preceding substrates W N-1To W N-xThe measurements obtained are used to control the current substrate W NOf one or more process parameters. The measurements or correction parameters derived from individual measurements are grouped together and fed into a Moving Average (MA), such as an Exponentially Weighted Moving Average (EWMA).

In more complex cases, a certain MA is suitable for inter-and intra-field correction and another type of MA is suitable for higher order (such as per-field correction) correction. In an even more complex case, if two layers are expected to show very similar fingerprints, some layers feed some corrections determined by the previous layer. However, even these solutions have several drawbacks.

First, a finite time filtering algorithm is used. The inventors have determined that moving averages do not accurately capture the time variation of the parameter.

Second, measurement steps are performed which are often unnecessary. In some cases, higher order fingerprints (e.g., intra-field fingerprints) change more slowly than inter-field fingerprints. For example, the stability periods of the projection system of the lithographic apparatus (generating intra-field fingerprints) and the etcher (generating inter-field fingerprints) may be very different, and thus the time variation difference of the corresponding fingerprints will be different. Thus, by measuring all batches with the same sample designed to correct all substrate and intrafield fingerprints, it takes time, which can be used for other purposes.

Third, existing automated process control methods do not utilize information from the substrate processing tool. The inventors have determined that the root cause of temporal variations in particular modeled parameters may be related to the process tool. For example, the time variation of wafer scaling may be related to the stability of the etcher, as characterized by the time variation of some of its sensor data. In those cases, it is much easier and less expensive to collect the etcher tool sensor data that is readily available than it is to measure more lots and/or wafers with a metrology tool to fine tune the time filter.

Accordingly, embodiments of the present invention allow for mitigating these drawbacks and providing a way to fine tune the APC feedback loop to reduce batch-to-batch variations in process parameters, and/or allow for a reduction in metrology sampling rate and/or density. An embodiment is depicted in FIG. 3, which shows a plurality of preceding substrates W N-1To W N-xThe metrology measurements obtained are used together with the status data 200 to control the current substrate W NOf one or more process parameters. When the substrate W is processed through one or more process tools, such as an etcher or an annealing device N-1To W N-xThe above layers of relevance, the state data 200 is relevant to the conditions to which those tools relate.

In embodiments of the invention, the information derived from the metrology measurements may be arranged in the form of a time series of data, i.e. a series of data values each associated with a time. It should be noted that the time associated with a data value is not necessarily the time at which the measurement is made, but rather the time at which the relevant fabrication step (e.g., exposure) is performed on the structure or target being measured. The purpose of the metrology steps and the provision of the measurement data as a time series is to infer conditions prevailing in the manufacturing tool (e.g. a lithographic apparatus) or temporal variations prevailing in the manufacturing tool (e.g. a lithographic apparatus). The information derived from the metrology measurements may be only the actual measurements themselves or modeled parameters derived from the actual measurements-such as translation, rotation, scaling, etc.

For the same purpose, status data relating to conditions prevailing in the manufacturing tool may also be presented in time series form. The status data may include control values applied to the manufacturing tool or measurements of conditions prevailing in the manufacturing tool. In the latter case, the time associated with the status data value may be the time at which the measurement was made.

In an embodiment of the invention, an automatic process control system independently applies time filters to different controllable process parameters. In the simplest embodiment, the user can decide which filter to apply to each data time series for each controllable process parameter. Providing a library of smoothing filters selectable by a user, such as:

bessel filter (Bessel filter)

Butterworth filter (Butterworth filter)

Matched filter

Elliptic filter (couer filter)

Lin Quizz-Rayleigh filter (Linkwitz-Riley filter)

Chebyshev filter (Chebyshev filter)

Biquad filter (Biquad filter)

High-pass filter

Low-pass filter

Band-pass filter

Infinite impulse response filter

Finite impulse response filter

Bilinear transformation

Kalman filter (Kalman filter)

Savitzky-Golay filter

It is also possible to use multiple filters, either in series or in parallel, to filter a single controllable process parameter input. In an embodiment, a first filter is applied to measurement data of a time series of measurement data associated with a first region of the substrate, and a second filter different from the first filter is applied to measurement data of a time series of measurement data associated with a second region of the substrate. For example, measurement data associated with an edge die may be processed differently than measurement data associated with an inner die.

FIG. 4 depicts another embodiment of the present invention using the same recipe to process multiple lots A … N … X, each lot including multiple substrates. Metrology measurements are performed on one or more lots (e.g., lot a through lot M) of substrates after the lithography step and the one or more process steps. Metrology measurements from some or all of lots a-M are used to calculate corrections to be applied to subsequent lot N using a mathematical model (e.g., a polynomial) that includes a plurality of terms. Each term of the polynomial is calculated from the metrology measurements from some or all of the lots a-M using a respective time filter 210. The polynomial may have terms in the form of powers of coordinates (e.g., x, y) that represent a location on the substrate. The temporal filter may be different for each term of the polynomial. Metrology measurements are performed on the substrates of lot N, which correspond to metrology measurements performed on some or all of lots A-M. Because more information is available, it is possible to improve the model. The improved model is used to determine corrections to subsequent batches X, etc.

Fig. 5 depicts another embodiment of the invention similar to the embodiment of fig. 4 except as described below. In the embodiment of FIG. 5, the state data from one or more process steps is used to fine tune the filter 210 to be applied to the metrology data.

Fig. 6 depicts another embodiment of the invention that is similar to the embodiment of fig. 4 except as described below. In the embodiment of FIG. 6, the status data from one or more process steps is used primarily to determine the corrections to be applied in subsequent batches, with the appropriate temporal filters 220 applied to the status data. Metrology measurements are used to verify and prevent drift or drift. Therefore, only a reduced number of metrology measurements need to be performed compared to the case where metrology is used as the primary determinant of corrections to be applied in subsequent batches. The number of metrology measurements performed per substrate need not be constant. This saves time and thus can improve throughput.

FIG. 7 depicts an embodiment in which only the state data is filtered by filter 220 and used to determine a correction to a subsequent batch. Metrology data is not used and therefore, the metrology step can be omitted. This approach is particularly useful in the commissioning phase prior to high volume manufacturing, where test substrates can be cycled through process steps.

FIG. 8 depicts a process for enabling a user to set an appropriate filter for an APC loop according to another embodiment of the present invention. In step S1, initial data is obtained from the lithography cluster. The initial data may include metrology data and/or status data from one or more substrates of one or more lots. The initial data may also be referred to as training data. In step S2, the initial data is processed to enable determination of the temporal variation of the sub-process of the entire manufacturing process. In an embodiment, this can be done by using a fourier transform or other similar transform to determine the Power Spectral Density (PSD) or similar pattern. The transformation transforms the initial data from time-series data into frequency-space data. The frequency space data is used to find the optimal temporal filter to use per process parameter for the APC modeled parametric data stream. In an embodiment, the optimal temporal filter may be determined algorithmically. Alternatively, a software interface is presented to receive user input to select a filter in step S3.

One way to select the appropriate filter is to determine the correlation between the particular process tool parameters and the APC model parameters S4. The correlation may be determined using a power or energy density spectrum or a matrix of correlation coefficients, or the like, to accurately pair the process tool parameters with metrology-based parameters based on the shared time dependence. As shown in fig. 9, the situation may be: the correlation between the temperature T (shown at the top) in the process tool (e.g., annealing furnace) and the overlay fingerprint (shown at the bottom) as measured by the metrology tool can be observed. These correlations may be presented to the user and enable the user to select which process tool is paired with which APC parameters to suit for sharing time dependencies.

Embodiments of the present invention may also suggest selection parameters and/or rank optimal temporal filters for optimal feedback control, and may suggest which process tool parameters to pair with for additional fine tuning.

Embodiments of the present invention may also provide a time and wafer layout scheme for metrology sampling. For example, the following may be desirable: a larger number of substrates are measured at a smaller number of points for only inter-field fingerprint correction, and a smaller number of substrates or lots are measured with a dense measurement scheme for intra-field correction.

Thus, desirable features of the invention are:

APC filter settings specific to the process step involved can be determined

Allowing finding and linking related process tool sensor data to APC model parameters for fine tuning control

Such operation can be performed independently per APC parameter

Metrology sampling recommendations are provided based on the foregoing process.

Examples of process parameters that may be applied to the present invention include: overlay, CD, CDU, sidewall angle, line edge roughness, and focus. Identification and measurement techniques suitable for measuring these parameters are well known in the art.

While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described.

18页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:光束扩散器系统及方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类