Method and apparatus for design of metrology target field

文档序号:152579 发布日期:2021-10-26 浏览:38次 中文

阅读说明:本技术 用于量测目标场的设计的方法和设备 (Method and apparatus for design of metrology target field ) 是由 M·范德斯卡 M·博兹库尔特 帕特里克·华纳 S·C·T·范德山登 于 2017-06-30 设计创作,主要内容包括:描述了一种用于提供准确的且鲁棒性的测量光刻特征或量测参数的方法和设备。所述方法包括为量测目标的多个量测参数中的每一个量测参数提供一个范围的值或多个值,为多个量测参数中的每一个量测参数提供约束,并且通过处理器计算以在所述一个范围的值或多个值内优化/修改这些参数,从而导致多个量测目标设计具有满足约束的量测参数。(A method and apparatus for providing accurate and robust measurement of lithographic features or metrology parameters is described. The method includes providing a range of values or a plurality of values for each of a plurality of metrology parameters of a metrology target, providing constraints for each of the plurality of metrology parameters, and calculating, by a processor, to optimize/modify the parameters within the range of values or the plurality of values, resulting in a plurality of metrology target designs having metrology parameters that satisfy the constraints.)

1. A metrology target comprising:

a plurality of metrology targets are placed at different locations on a substrate through a fabrication process,

each measuring target at least comprises a first measuring sub-target and a second measuring sub-target, and the first measuring sub-target and the second measuring sub-target are different in design; and is

Wherein the first metrology sub-target and the second metrology sub-target are designed using illumination parameters of an illumination.

2. The metrology target of claim 1, wherein the first and second metrology sub-targets are designed with process stack information of the substrate, optionally for different process stacks, optionally such that a stack sensitivity of each metrology sub-target reaches a maximum for the process stack information of the substrate.

3. The metrology target of claim 1 or 2, wherein the first metrology sub-target and the second metrology sub-target are designed for different illumination parameters.

4. The metrology target of claim 3, wherein the illumination parameter comprises a wavelength value or polarization of incident radiation.

5. The metrology target of any one of claims 1-4, wherein the first and second metrology sub-targets are designed such that a stack sensitivity of each metrology sub-target reaches a maximum for the illumination.

6. A metrology target design method, the method comprising:

providing a plurality of metrology parameters to generate a plurality of metrology target designs corresponding to a plurality of metrology targets, wherein each metrology target is designed to be disposed at a different location on a substrate, respectively;

receiving illumination parameters for measuring illumination of the plurality of metrology targets; and

determining, by a computer device, the plurality of metrology parameters for each metrology target using the illumination parameters.

7. The method of claim 6, wherein the illumination parameter comprises a wavelength value or polarization of incident radiation.

8. The method of claim 6 or 7, wherein at least one metrology target is designed for different illumination parameters.

9. The method of any of claims 6-8, wherein at least one metrology target is designed using process stack information of the substrate, optionally for different process stacks.

10. The method of any one of claims 6-9, wherein at least one metrology target is designed for different wavelengths or polarizations of incident radiation.

11. The method of any one of claims 6-10, wherein the first and second metrology sub-targets are designed such that a stack sensitivity of each metrology sub-target reaches a maximum for the illumination.

12. A metrology target design method, the method comprising:

providing a plurality of metrology parameters to generate a plurality of metrology target designs corresponding to a plurality of metrology targets, wherein each metrology target is designed to be disposed at a different location on a substrate, respectively;

receiving illumination parameters for measuring illumination of the plurality of metrology targets;

determining, by a computer device, one or more values of the plurality of metrology parameters for each metrology target using the illumination parameters or process stack information of the substrate, wherein the one or more values of the plurality of metrology parameters are determined such that a maximum stack sensitivity is achieved using a selected incident radiation or a selected process stack configuration measurement; and

for each metrology target of the metrology target design, generating the plurality of metrology targets based on determining the one or more values of a plurality of metrology parameters.

13. A metrology target comprising:

a plurality of metrology targets disposed at different locations on a substrate through a fabrication process,

wherein each metrology target comprises at least a first metrology sub-target and a second metrology sub-target, the first and second metrology sub-targets being different in design and

wherein the first and second metrology sub-targets are designed such that the stack sensitivity of each metrology sub-target reaches a maximum for a selected incident radiation or a selected process stack configuration.

14. The metrology target of claim 13, wherein the first and second metrology sub-targets are designed for different process stacks.

15. The metrology target of claim 13, wherein the first and second metrology sub-targets are designed for different illumination parameters.

16. The metrology target of claim 15, wherein the illumination parameter comprises a wavelength value or polarization of incident radiation.

17. A non-transitory computer program stored in a medium, comprising machine-readable instructions therein, which when executed by a computer system, are configured to cause the computer system to at least cause performance of the method of any one of claims 6-12.

Technical Field

The present description relates to methods and apparatus for determining one or more structural parameters of a metrology target, such as may be used in the manufacture of devices by lithographic techniques, and to manufacturing methods using lithographic techniques.

Background

A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. For example, lithographic apparatus can be used in the manufacture of Integrated Circuits (ICs). In such cases, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. The pattern can be transferred onto a target portion (e.g., comprising part of, one, or more dies) on a substrate (e.g., a silicon wafer). Typically, the transfer of the pattern is performed by imaging the pattern onto a layer of radiation-sensitive material (resist) provided on the substrate. Typically, a single substrate will contain a network of adjacent target portions that are successively patterned. Known lithographic apparatus include so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time, and so-called scanners; in a scanner, each target portion is irradiated by scanning a pattern through a radiation beam in a given direction (the "scanning" -direction) while synchronously scanning the substrate parallel or anti-parallel to this direction. It is also possible to transfer the pattern from the patterning device to the substrate by imprinting the pattern onto the substrate.

In lithographic processes, it is desirable to measure the resulting structure from time to time, for example for process control and verification. Typically, one or more parameters of the structure are measured or determined, for example, overlay error between successive layers formed in or on the substrate. Various techniques exist for measuring the microstructure formed during photolithography. Various tools for making such measurements are known, including scanning electron microscopes, which are commonly used to measure Critical Dimension (CD), and specialized tools for measuring overlay, which is the accuracy of the alignment of two layers in a device. An example of such a tool is a scatterometer developed for application in the field of lithography. Such devices direct a beam of radiation onto a target on the surface of a substrate and measure one or more properties of the redirected radiation-for example the intensity as a function of wavelength at a single angle of reflection; intensity as a function of reflection angle at one or more wavelengths; or polarization as a function of reflection angle-to obtain a "spectrum" from which the property of interest of the target can be determined. Determining the attributes of interest may be performed by various techniques: for example, reconstruction of the target structure, library search, and principal component analysis by iterative methods such as rigorous coupled wave analysis or finite element methods.

Disclosure of Invention

The present invention relates to a method of designing a metrology target and a method of measuring lithographic characteristics using a metrology target and a metrology apparatus.

Optical metrology uses light scattered from a target to provide information about a lithographic process. The measurement is performed in an optical instrument such as a scatterometer. The information that the scatterometer is adapted to measure is the overlay, which is the relative distance between two overlapping gratings in a plane parallel to the two overlapping gratings.

In diffraction-based overlay measurements, the overlay is extracted from the difference in light intensity of the positive first diffraction order and the negative first diffraction order. The overlay sensitivity is defined as the ratio of the overlay sensitivity K, which is a scaling factor linking the measured light intensity and the overlay OV, to the averaged light intensity Im (ratio multiplied by 20 nm).

Examples of known scatterometers include those described in US2006033921a1, US2010201963a1, US2006066855a1, US2014192338, US2011069292a1, US20110027704A, US20110043791A, US2011102753a1, US20120044470A, US20120123581A, US20130258310A, US20130271740A, and WO2016083076a 1. The contents of all of these applications are specifically and entirely incorporated herein by reference.

Furthermore, it is desirable to be able to use selected metrology targets such that when using metrology targets in metrology measurements, they provide optimal and robust results, which in turn results in accurate overlay measurements. More information on the target design is in the appendix, which is specifically and fully incorporated herein by reference.

One of the problems faced by diffraction-based overlay metrology applications is that the stack sensitivity (one of the parameters of the metrology measurement process, i.e. the metrology parameter) is proportional to the wavelength of light used when illuminating the target.

The described ratio of stack sensitivity to wavelength also exhibits reduced periodicity as a function of wavelength as the vertical or perpendicular distance (thickness) between the gratings used to form the target becomes larger.

Furthermore, the process of selecting and/or adjusting the light used in the metrology process is difficult because it limits the types of light sources that provide light when illuminating the target, and it also limits the wavelength selection means used for such metrology devices.

For example, it is desirable to provide methods and apparatus for designing metrology targets. Further, although not limited thereto, the method and apparatus would be advantageous if it could be applied to accurately measure and minimize overlay error in a lithographic process.

The invention aims to provide a method for accurately and robustly measuring photoetching characteristics.

According to the present invention, there is provided a method of metrology target design, the method comprising: the method may include receiving illumination parameters for measuring a metrology target, and selecting and/or adjusting metrology parameters associated with a metrology target design for improving accuracy and/or robustness of measurements of the metrology target design using the illumination parameters.

Further, according to the present invention, there is provided a method for determining a parameter of a lithographic process, comprising: receiving light scattered from a region comprising at least two metrology targets optimized to provide robust and optimal metrology measurements; and determining a parameter of the lithographic process based on the weighted contribution of each individual metrology target.

The illumination parameter is, for example, the wavelength or polarization of the illumination beam of the measuring device.

The metrology parameter is, for example, the pitch of a grating used to form the metrology target. Additional measurement parameters are CD, angle of the lines making up the grating, duty cycle of the lines and spaces making up the beam.

In one embodiment of the invention, the pitch of the target is selected and/or adjusted in the metrology simulation package, such as for controlling the design of the package, to have a greater K value of overlap sensitivity when the target is illuminated with radiation received from the user or selected in the metrology target design.

In another embodiment of the invention, clusters of N targets are designed by selecting and/or adjusting the pitch, CD, angle of the grating forming the lines, duty cycle of the lines and spaces.

When illuminated with illumination radiation having a wavelength received and used in the design of the metrology target and/or used as a constraint in the design phase, the clusters of targets will provide at least N overlapping sensitivity values Ki

The simulation package selects and/or adjusts the measurement parameters such that KiIs the largest.

Each KiIs the parameter alphai. A further condition for the design is alphai1 and-1<αi<1, is calculated as a sum of 1.

A parameter of the lithographic process, such as overlay, is determined, for example, as a weighted sum of the overlay values measured from each target, where the weight is a coefficient αi

In another embodiment of the invention, clusters of N targets are designed by selecting and/or adjusting the pitch, CD, angle of the grating forming the lines, duty cycle of the lines and spaces.

When illuminated with illuminating radiation having a wavelength received and used in the design of a metrology target, a cluster of targets will provide at least N number of overlaps OVi

Analog packet selection and/or tuning of metrology parameters such that OViIs the largest.

Each KiThe weight of (1) is a parameter

The final number of overlaps is then a combination, e.g. a linear combination, of the respective numbers of overlaps of the different objects.

The reference overlay number is the target to be approached, provided by a self-reference metrology method, or by a CD-SEM measurement.

Weight ofIs not limited to [ -1,1 [ ]]The value of the interval.

Parameter(s)For example, determined from a correlation analysis. An example of a correlation analysis is PCA (principal component analysis).

A parameter of the lithographic process, such as overlay, is determined, for example, as a weighted sum of the overlay values measured from each target, where the weights are coefficients

In one aspect of the disclosure, a range or a plurality of values is provided for each of a plurality of metrology parameters of a metrology target, constraints are provided for each of the plurality of metrology parameters, and the parameters are optimized over the range of values by a processor calculation resulting in a plurality of metrology target designs having metrology parameters that satisfy the constraints.

In one aspect of the disclosure, a method is provided that includes measuring a modified value and a lithographic process parameter for each of a plurality of metrology targets that have been produced by a metrology parameter and a manufacturing process. The method also includes determining a multiplication factor for each metrology target based on the respective modified value for each metrology target, and determining an overall lithographic process parameter for the plurality of metrology targets using the modified values and the determined multiplication factors.

In one aspect of the disclosure, a method is provided that includes measuring a modified value and a lithographic process parameter for each of a plurality of metrology targets that have been produced by a metrology parameter and a manufacturing process. The method also includes determining a multiplication factor for each metrology target based on the respective modified value for each metrology target and the reference lithographic process parameter, and determining an overall lithographic process parameter for the plurality of metrology targets using the determined multiplication factors.

Drawings

Embodiments will now be described, by way of example only, with reference to the accompanying drawings, in which:

FIG. 1 schematically depicts an embodiment of a lithographic apparatus;

FIG. 2 schematically depicts an embodiment of a lithography unit or cluster;

FIG. 3 schematically depicts an embodiment of a scatterometer;

FIG. 4 schematically depicts another embodiment of a scatterometer;

FIG. 5 schematically depicts the form of a plurality of grating targets and the profile of a measurement spot on a substrate;

FIGS. 6A and 6B schematically depict a one-cycle modeling structure of an overlay target, showing examples of asymmetric changes of the target from an ideal (e.g.) two types of processes;

FIGS. 7A, 7B and 7C show schematic cross-sections of overlapping periodic structures with different overlap values in the zero region, respectively;

FIG. 7D is a schematic cross-section of an overlapping periodic structure having structural asymmetry in the bottom periodic structure due to processing effects;

FIG. 8 illustrates the principle of overlay measurement in an ideal target that does not suffer from structural asymmetry;

FIG. 9 illustrates the principle of overlay measurement in a non-ideal target with correction of structural asymmetry as disclosed in embodiments herein;

FIG. 10 shows an example graph of stack sensitivity as a function of incident radiation wavelength for different incident illumination polarizations;

FIG. 11 schematically shows a case where there is no stack difference between a first target periodic structure with a bias + d and a second target periodic structure with a bias-d, and shows a diffraction signal after diffraction by the first target periodic structure and the second target periodic structure;

FIG. 12 schematically illustrates the intensity variation of the combined +1 and-1 diffraction order signals diffracted by the first target periodic structure;

FIG. 13 schematically illustrates the intensity variation of the combined +1 and-1 diffraction order signals diffracted by the second target periodic structure;

FIG. 14 schematically illustrates a case where there is a stack difference between a first target periodic structure with an offset of + d and a second target periodic structure with an offset of-d, and shows a diffraction signal after diffraction by the first target periodic structure and the second target periodic structure;

FIG. 15 illustrates an example graph of stack sensitivity as a function of incident radiation wavelength for different metrology target designs, in accordance with one embodiment;

FIG. 16 is a flow diagram of steps of a method for improving robustness and scalability of a metrology target stack, according to one embodiment;

FIG. 17A is a flowchart of steps of a method for improving robustness and scalability of a metrology target stack, in accordance with another embodiment;

FIG. 17B is an example graph of K values as a function of metrology target site for different metrology target designs, according to one embodiment;

FIG. 18A is a flowchart of steps of a method for improving robustness and scalability of a metrology target stack, in accordance with another embodiment;

FIG. 18B is an example graph of overlay values for different metrology target designs as a function of metrology target site, in accordance with one embodiment;

FIG. 19 is a flowchart of steps of a method for measuring lithographic process parameters using a metrology target, according to one embodiment;

FIG. 20 is a flowchart of steps of a method for metrology system calibration using metrology targets, according to one embodiment;

FIG. 21 is a flow diagram of steps of a method for designing metrology targets, according to one embodiment.

FIG. 22 is a schematic diagram of a form of a multi-grating metrology target, according to one embodiment.

Detailed Description

Before describing embodiments in detail, it is instructive to provide an exemplary environment in which embodiments may be implemented.

FIG. 1 schematically depicts a lithographic apparatus LA. The lithographic apparatus comprises:

an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. DUV radiation or EUV radiation);

a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask) MA and connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters;

a substrate table (e.g. a wafer table) WTa constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters; and

a projection system (e.g. a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.

The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.

The support structure of the patterning device holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The patterning device support structure may use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The support structure of the patterning device may be a frame or a table, for example, which may be fixed or movable as required. The support structure of the patterning device may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms "reticle" or "mask" herein may be considered synonymous with the more general term "patterning device".

The term "patterning device" used herein should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.

The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable Liquid Crystal Display (LCD) panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, attenuated phase-shift, and various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix.

The term "projection system" used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term "projection lens" herein may be considered as synonymous with the more general term "projection system".

As here depicted, the apparatus is of a transmissive type (e.g. employing a transmissive mask). Alternatively, the apparatus may be of a reflective type (e.g. employing a programmable mirror array of a type as referred to above, or employing a reflective mask).

The lithographic apparatus may be of a type having two (dual stage) or more tables (e.g., two or more substrate tables, two or more support structures for a patterning device, or a substrate table and a metrology table). In such "multiple stage" machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure.

The lithographic apparatus may also be of a type: wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g. water, so as to fill a space between the projection system and the substrate. Immersion liquids may also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems. The term "immersion" as used herein does not mean that a structure such as a substrate must be submerged in a liquid; in contrast, "immersion" means only that liquid is located between the projection system and the substrate during exposure.

Referring to FIG. 1, the illuminator IL receives a radiation beam from a radiation source SO. For example, when the source is an excimer laser, the source and the lithographic apparatus may be separate entities. In such cases, the source is not considered to form part of the lithographic apparatus and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system BD comprising, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the lithographic apparatus, for example when the source is a mercury lamp. The source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system.

The illuminator IL may comprise an adjuster AD for adjusting the angular intensity distribution of the radiation beam. Generally, at least an outer radial extent and/or an inner radial extent (commonly referred to as σ -outer and σ -inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. IN addition, the illuminator IL may include various other components, such as an integrator IN and a condenser CO. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section.

The radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the patterning device support structure (e.g., mask table MT), and is patterned by the patterning device. Having traversed the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF (e.g., an interferometric device, linear encoder, two-dimensional encoder or capacitive sensor), the substrate table WTa can be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor (which is not explicitly depicted in fig. 1) can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B, e.g. after mechanical retrieval from a mask library, or during a scan. In general, movement of the support structure (e.g. mask table) MT may be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioner PM. Similarly, movement of the substrate table WTa may be realized using a long-stroke module and a short-stroke module, which form part of the second positioner PW. In the case of a stepper (as opposed to a scanner) the support (e.g., mask table) MT of the patterning device may be connected to a short-stroke actuator only, or may be fixed.

Patterning device (e.g. mask) MA and substrate W may be aligned using mask alignment marks Ml, M2 and substrate alignment marks Pl, P2. Although the substrate alignment marks as shown occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks). Similarly, in situations in which more than one die is provided on the patterning device (e.g. mask) MA, the mask alignment marks may be located between the dies. Small alignment marks may also be included within the die among device features where it is desirable that the marks be as small as possible and do not require any imaging or process conditions that differ from adjacent features. An alignment system for detecting alignment marks is described further below.

The illustrated apparatus can be used in at least one of the following modes:

1. in step mode, the support (e.g. mask table) MT and the substrate table WTa of the patterning device are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WTa is then moved in the X and/or Y direction so that a different target portion C can be exposed. In step mode, the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure.

2. In scan mode, the support (e.g. mask table) MT and the substrate table WTa of the patterning device are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of substrate table WTa relative to support (e.g. mask table) MT of the patterning device may be determined by the (de-) magnification and image reversal characteristics of projection system PS. In scan mode, the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion.

3. In another mode, a support (e.g. a mask table) MT for holding a patterning device of a programmable patterning device is kept essentially stationary, and a pattern imparted to the radiation beam is projected onto a target portion C while the substrate table WTa is moved or scanned. In this mode, a pulsed radiation source is typically employed, and the programmable patterning device is updated as required after each movement of the substrate table WTa, or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.

Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed.

The lithographic apparatus LA is of a so-called dual stage type having two tables WTa, WTb (e.g. two substrate tables) and two stations-an exposure station and a measurement station-between which the tables can be exchanged. For example, when a substrate on one table is exposed at an exposure station, another substrate may be loaded onto another substrate table at a measurement station and various preparatory steps are performed. Preparatory steps may include mapping the surface controls of the substrate using the level sensor LS and measuring the position of alignment marks on the substrate using the alignment sensor AS, both sensors being supported by the reference frame RF. IF the position sensor IF is not able to measure the position of the stage when the stage is at the measurement station as well as at the exposure station, a second position sensor may be provided to enable the position of the stage to be tracked at both stations. As another example, while a substrate on one table is being exposed at an exposure station, another table without a substrate waits at a measurement station (where measurement activity can optionally occur). The other station has one or more measuring devices and may optionally have other tools (e.g., a cleaning apparatus). When the substrate has completed exposure, the stage without the substrate is moved to an exposure station to perform, for example, measurement, and the stage with the substrate is moved to a position (e.g., a measurement station) where the substrate is unloaded and another substrate is loaded. These multiple station arrangements can greatly increase the throughput of the apparatus.

As shown in FIG. 2, the lithographic apparatus LA forms part of a lithographic cell LC and is sometimes referred to as a lithographic cell or lithographic cluster, the lithographic cell also including apparatus for performing one or more pre-exposure and post-exposure processes on a substrate. Conventionally, these devices comprise: one or more spin coaters SC for depositing a resist layer, one or more developers DE for developing the exposed resist, one or more chill plates CH, and one or more bake plates BK. The substrate handler or robot RO picks up the substrate from the input/output ports I/O1, I/O2, moves the substrate between different processing devices, and then transfers it to the load station LB of the lithographic apparatus. These devices are generally referred to collectively as tracks and are controlled by a track control unit TCU, which is itself controlled by a supervisory control system SCS, which also controls the lithographic apparatus via the lithographic control unit LACU. Thus, different equipment may be operated to maximize throughput and processing efficiency.

Fig. 3 depicts an embodiment of a scatterometer SM 1. It comprises a broadband (white light) radiation projector 2 projecting radiation onto a substrate 6. The reflected radiation is passed to a spectrometer detector 4, the spectrometer detector 4 measuring the spectrum 10 of the specularly reflected radiation (i.e. a measure of the intensity as a function of the wavelength). From this data, the structure or profile giving rise to the detected spectrum can be reconstructed by the processing unit PU, for example by rigorous coupled wave analysis and non-linear regression, or comparison with a library of simulated spectra at the bottom of fig. 3. In general, for reconstruction, the general form of the structure is known and some parameters are assumed from knowledge of the process of manufacturing the structure, leaving only a few parameters of the structure to be determined from scatterometry data. Such scatterometers may be configured as normal incidence scatterometers or oblique incidence scatterometers.

Fig. 4 shows a further embodiment of a scatterometer SM 2. In this device, radiation emitted by the radiation source 2 is focused using a lens system 12 and passes through an interference filter 13 and a polarizer 17, is reflected by a partially reflective surface 16, and is focused onto the substrate W via a microscope objective 15, the microscope objective 15 having a high Numerical Aperture (NA), desirably at least 0.9 or at least 0.95. Immersion scatterometers may even have lenses with numerical apertures greater than 1. The reflected radiation is then transmitted through partially reflective surface 16 to detector 18 for detection of the scatter spectrum. The detector may be located in a back-projected pupil plane 11, which is located at the focal length of the lens 15, however, it may alternatively be re-imaged with secondary optics (not shown) onto the detector 18. The pupil plane is the plane in which the radial position of the radiation defines the angle of incidence, and the angular position defines the azimuth angle of the radiation. The detector is desirably a two-dimensional detector so that a two-dimensional angular scatter spectrum (i.e., a measurement of intensity as a function of scatter angle) of the substrate target can be measured. The detector 18 may be an array of, for example, CCD or CMOS sensors, and may have an integration time of, for example, 40 milliseconds per frame.

For example, a reference beam is often used to measure the intensity of incident radiation. To this end, when the radiation beam is incident on partially reflective surface 16, a portion thereof is transmitted through that surface as a reference beam towards reference mirror 14. The reference beam is then projected onto a different part of the same detector 18.

One or more interference filters 13 may be used to select wavelengths of interest in the range of, for example, 405-. The interference filter may be tunable rather than comprising a set of different filters. A grating may be used instead of or in addition to one or more interference filters.

The detector 18 may measure the intensity of scattered radiation at a single wavelength (or narrow wavelength range), respectively at multiple wavelengths or integrated over a range of wavelengths. Furthermore, the detector may measure the intensity of the Transverse Magnetic (TM) polarized and transverse electric (Te) polarized radiation and/or the phase difference between the transverse magnetic polarized and transverse electric polarized radiation, respectively.

It is feasible to use a broadband radiation source 2, i.e. a radiation source having a wide range of radiation frequencies or wavelengths and thus having a plurality of colors, which provides a large etendue, allowing for mixing of a plurality of wavelengths. It is desirable for the plurality of wavelengths in the broadband to each have a bandwidth of δ λ and a spacing of at least 2 bandwidths (i.e., twice the wavelength bandwidth). Several "sources" of radiation may be different parts of an extended radiation source, which have been separated using, for example, a fiber bundle. In this way, angle-resolved scatter spectra can be measured at multiple wavelengths in parallel. A 3-dimensional spectrum (wavelength and two different angles) can be measured, which contains more information than a 2-dimensional spectrum. This allows more information to be measured, which increases the robustness of the metrology process. This is described in more detail in U.S. patent application publication No. us 2006-0066855, which is incorporated herein by reference in its entirety.

By comparing one or more properties of the beam before and after being redirected by the target, one or more properties of the substrate may be determined. This may be done, for example, by comparing the redirected beam to a theoretical redirected beam calculated using a model of the substrate and searching for a model that gives the best fit between the measured and calculated redirected beams. Typically, a parameterized generic model is used, and the parameters of the model, such as the width, height, and sidewall angle of the pattern, are varied until the best match is obtained.

Two main types of scatterometers are used. A spectral scatterometer directs a broadband radiation beam onto a substrate and measures the spectrum (intensity as a function of wavelength) of the radiation scattered into a particular narrow angular range. Angle-resolved scatterometers use monochromatic radiation beams and measure the intensity (or intensity ratio and phase difference in the case of an elliptical configuration) of the scattered radiation as a function of angle. Alternatively, the measurement signals of different wavelengths may be measured separately and combined in the analysis stage. Polarized radiation may be used to produce more than one spectrum from the same substrate.

To determine one or more parameters of the substrate, a best match is typically found between the theoretical spectrum generated from the substrate model and the measured spectrum generated by the redirected beam as a function of wavelength (spectral scatterometer) or angle (angle-resolved scatterometer). There are various ways to find the best match, which can be combined. For example, the first method is an iterative search method, where a first set of model parameters is used to calculate a first spectrum and compare it to the measured spectrum. Then, a second set of model parameters is selected, a second spectrum is calculated, and the second spectrum is compared to the measured spectrum. These steps are repeated with the aim of finding the set of parameters that gives the best matching spectrum. Typically, information from the comparison is used to guide the selection of the subsequent parameter set. This process is called an iterative search technique. The model with the set of parameters that gives the best match is considered the best description of the measured substrate.

A second approach is to make a library of spectra, each corresponding to a particular set of model parameters. Typically, the set of model parameters is selected to encompass all or nearly all possible variations of the substrate properties. The measured spectrum is compared to the spectra in the library. Similar to the iterative search method, a model with a set of parameters corresponding to the spectrum giving the best match is considered the best description of the measured substrate. Interpolation techniques can be used to more accurately determine the best set of parameters in the library search technique.

In any method, sufficient data points (wavelengths and/or angles) in the calculated spectrum should be used in order to enable an accurate match, typically between 80 and 800 data points or more for each spectrum. Using an iterative approach, each iteration for each parameter value will involve calculations made at 80 or more data points. This is multiplied by the number of iterations required to obtain the correct profile parameters. Thus, many calculations may be required. In practice, this results in a trade-off between accuracy and processing speed. In the library approach, there is a similar tradeoff between accuracy and the time required to build the library.

In any scatterometer as discussed above, the target on the substrate W may be a grating, which is printed such that after development, the grating strips are comprised of solid resist lines. The grid bars may alternatively be etched into the substrate. The target pattern is selected to be sensitive to a parameter of interest, such as focus, dose, overlay, chromatic aberration, etc. in the lithographic projection apparatus, so that a change in the relevant parameter will indicate a change in the printed target. For example, the target pattern may be sensitive to chromatic aberrations in the lithographic projection apparatus (and in particular the projection system PL) and illumination symmetry, and the presence of such aberrations will manifest themselves in variations in the printed target pattern. Accordingly, scatterometry data of the printed target pattern is used to reconstruct the target pattern. Parameters of the target pattern, such as line widths and line shapes, may be input into a reconstruction process performed by the processing unit PU based on knowledge of the printing step and/or other scatterometry processes.

Although multiple embodiments of scatterometers have been described herein, other types of metrology devices may be used in one embodiment. For example, a dark field metrology device such as described in U.S. patent application publication No.2013-0308142, which is incorporated herein by reference in its entirety, may be used. Moreover, those other types of metrology equipment may use entirely different techniques than scatterometry.

FIG. 5 illustrates an exemplary composite metrology target formed on a substrate in accordance with known practices. The composite target comprises four gratings 32, 33, 34, 35 positioned closely together so that they will all be within the measurement spot 31 formed by the illumination beam of the metrology apparatus. Thus, all four targets are simultaneously illuminated and simultaneously imaged on the sensors 4, 18. In one example dedicated to overlay measurement, the gratings 32, 33, 34, 35 are themselves composite gratings formed by overlay gratings that are patterned in different layers of a semiconductor device formed on the substrate W. There are multiple composite targets placed at different locations on the substrate W so that information and measurements can be obtained about the entire substrate W. The gratings 32, 33, 34, 35 may have overlap offsets that are biased differently to facilitate overlap measurements between layers in which different portions of the composite grating are formed. The gratings 32, 33, 34, 35 may also differ in their direction, as shown, in order to diffract incident radiation in the X-direction and the Y-direction. In one example, gratings 32 and 34 are X-direction gratings with + d, -d biases, respectively. This means that the gratings 32 have their overlapping components arranged such that if they were all printed exactly at their nominal location, one of the overlapping components would be offset from the other by a distance d. The grating 34 has its components arranged so that if printed intact would be a bias of d, but in the opposite direction to the first grating, and so on. The gratings 33 and 35 may be Y-direction gratings with offsets + d and-d, respectively. Although four gratings are shown, another embodiment may include a larger matrix to achieve the desired accuracy. For example, a 3 × 3 array of 9 composite gratings may have offsets of-4 d, -3d, -2d, -d, 0, + d, +2d, +3d, +4 d. The individual images of these gratings may be identified in the image captured by the sensor 4, 18.

The metrology targets described herein may be, for example, overlay targets designed for use with metrology tools such as Yieldstar stand-alone or integrated metrology tools, and/or alignment targets such as those typically used in TwinScan lithography systems, both of which are commercially available from ASML corporation.

In general, metrology targets used with these systems should be printed on a substrate with dimensions that conform to the design specifications of the particular microelectronic device to be imaged on the substrate. As processes continue to approach the limits of lithographic apparatus imaging resolution in advanced process nodes, design rules and process compatibility requirements place pressure on the selection of appropriate targets. As the targets themselves become more advanced, often requiring the use of resolution enhancement techniques, such as phase-shift patterning devices and optical proximity correction, the printability of the targets within the process design rules becomes less certain. As a result, it is possible to subject the proposed metrology target design to testing and/or simulation in order to confirm its suitability and feasibility from both printability and detectability perspectives. In a commercial environment, good overlay mark detectability may be viewed as a lower total measurement uncertainty and shorter "move-to-acquireA combination of moving "times, since slow acquisition is detrimental to the overall production capacity of the production line. Modern micro-diffraction based overlay targets (μ DBO) can be on the order of 10 μm on one side, which is comparable to 40X 160 μm2Provides an inherently lower detection signal than a target such as used in the context of monitoring a substrate.

In addition, once a metrology target has been selected that meets the above criteria, there is a possibility that the detectability will change with respect to process variations, such as film thickness variations, various etch biases, and geometric asymmetries induced by the etch and/or polish process. Therefore, it may be useful to select targets with low detectability variations and low overlay/alignment variations for various process variations. Also, in general, the fingerprint (printing characteristics, including, for example, lens aberrations) of the particular machine used to produce the microelectronic device to be imaged will affect the imaging and production of the metrology target. Thus, it may be useful to ensure that metrology targets are resistant to the effects of fingerprints, as some patterns will be more or less affected by a particular lithographic fingerprint.

Fig. 6A and 6B schematically show a model structure of one period of an overlapped target, showing an example of a change in the target from an ideal, an example of a change in asymmetry caused by (for example) two types of processes. Referring to fig. 6A, the substrate W is patterned with a bottom grating 500, which is etched into the substrate layer. The etching process for the bottom grating causes the floor 502 of the etched trench to tilt. This Floor Tilt (FT) can be expressed as a structural parameter, for example as a measure of the height drop across the floor 502, in nm. A BARC (bottom anti-reflective coating) layer 504 supports the patterned resist features of the top grating 506. In this example, the alignment overlay error between the top and bottom gratings is zero because the centers of the top and bottom grating features are at the same lateral position. However, the asymmetry caused by the underlying process, i.e. the floor tilt, results in an error in the measured overlay offset, which in this case gives a non-zero overlay offset. FIG. 6B illustrates another type of asymmetry caused by underlying processes that may result in errors in the measured overlay offsets. This is the sidewall angle (SWA) imbalance, swann. Features that are the same as those of figure 6A are labeled the same. Here, one sidewall 508 of the bottom grating has a different slope than the other sidewall 510. This imbalance can be expressed as a structural parameter, such as the ratio of the two sidewall angles relative to the plane of the substrate. Both asymmetric parameters of the floor tilt and SWA imbalance produce an "apparent" overlay error between the top and bottom gratings. This apparent overlay error appears on the "true" overlay error to be measured between the top and bottom gratings.

Accordingly, in one embodiment, it is desirable to simulate various metrology target designs in order to confirm the suitability and/or feasibility of one or more proposed target designs.

In the above patent application publication, various techniques are disclosed for improving the quality of overlay measurement using the above basic method. These techniques are not explained in detail herein. They may be used in combination with the newly disclosed techniques in this application.

Fig. 7A-7D show schematic cross-sections of target periodic structures (overlapping periodic structures) with different bias offsets. These may be used as targets T on the substrate W. For purposes of example only, a periodic structure having periodicity in the X direction is shown. Different combinations of these periodic structures with different biases and different directions may be provided separately or as part of the target. Further details of the design of these periodic target structures are described in U.S. patent publication US 20150186582, which is incorporated herein by reference in its entirety.

Beginning with FIG. 7A, a target 600 formed in at least two layers labeled L1 and L2 is shown. In the lower layer or floor L1, a first periodic structure (lower or bottom periodic structure), such as a grating, is formed by features 602 and spaces 604 on a substrate 606. In layer L2, a second periodic structure, such as a grating, is formed by features 608 and spaces 610. (the cross-section is drawn such that the features 602, 608 (e.g., lines) extend into the page.) the periodic structure pattern repeats at a pitch P in both layers. Features 602 and 608 may take the form of lines, dots, blocks, and vias. In the case shown in fig. 7A, there is no overlay contribution due to misalignment (e.g., no overlay error and no applied bias) so that each feature 608 of the second structure is located just above the feature 602 in the first structure.

In fig. 7B, the same target is shown with a first known applied bias + d, such that the feature 608 of the first structure is shifted to the right by a distance d relative to the feature of the second structure. The distance d of the offset may in practice be a few nanometers, e.g. 10nm-20nm, while the pitch P is e.g. in the range of 300-1000nm, e.g. 500nm or 600 nm. In FIG. 7C, another feature is depicted with a second known applied bias-d, such that feature 608 is shifted to the left. The value of d need not be the same for each structure. This type of biased periodic structure shown in fig. 7A to 7C is described in the above-mentioned prior patent application publication.

Fig. 7D schematically shows the phenomenon of structural asymmetry, in this case the structure in the first structure is asymmetric (bottom structure asymmetry). The features in the periodic structure in fig. 7A to 7C are shown as completely square, with real features having some slope and some roughness on the sides. However, they are intended to be at least symmetrical in profile. The features 602 and/or spaces 604 in fig. 7D in the first structure no longer have a symmetrical form at all, but are distorted by one or more processing steps. Thus, for example, the bottom surface of each interval has been inclined (bottom wall inclined). For example, the sidewall angles of the features and spaces become asymmetric. Thus, the overall target asymmetry of the target will include both an overlay contribution independent of the structural asymmetry (i.e., an overlay contribution due to misalignment of the first and second structures; itself including overlay error and any known applied bias) as well as a structural contribution due to such structural asymmetry in the target.

When measuring overlay by the method of fig. 6 using only two offset periodic structures, process-induced structural asymmetries cannot be distinguished from overlay contributions due to misalignment, and overlay measurement (particularly measuring undesirable overlay errors) results become unreliable. Structural asymmetry in the first structure of the target (the bottom periodic structure) is a common form of structural asymmetry. For example, it may result from a substrate processing step, such as Chemical Mechanical Polishing (CMP), performed after the first structure is initially formed.

In PCT patent application publication No. wo2013-143814, it is proposed to use a three or more part periodic structure to measure overlap by a modified version of the method of fig. 6. Three or more periodic structures of the type shown in fig. 7A to 7C are used to obtain overlay measurements that are corrected to some extent for structural asymmetries in the target periodic structure, such as those caused by underlying structural asymmetries during actual patterning. However, this approach requires a new target design (e.g., different than that shown in fig. 4), and therefore would require a new patterning device or patterning device pattern. In addition, the target area is larger and therefore consumes more substrate area. In addition, the phase elements of the overlapping contribution caused by the structural asymmetry are ignored in this and other prior methods, which means that the correction is not as accurate as can be achieved if the phase elements are also corrected.

In fig. 8, a curve 702 shows the relationship between the overlap OV and the intensity asymmetry a of an "ideal" target with zero offset and no structural asymmetry within the various periodic structures forming the target (in particular within a single periodic structure of the first structure). The target asymmetry of the ideal target therefore only includes the overlay contribution due to misalignment of the first and second structures caused by the known applied bias and overlay error OV. This graph and the graph of fig. 9 only show the principle on which the present disclosure is based, and in each graph the units of intensity asymmetry a and overlap OV are arbitrary. Examples of actual dimensions are given further below.

In the "ideal" case of fig. 8, the curve 702 indicates that the intensity asymmetry a has a non-linear periodic relationship (e.g., a sinusoidal relationship) with overlap. The period P of the sinusoidal variation corresponds to the period or pitch P of the periodic structure, which is of course converted into a suitable ratio. The sinusoidal form is pure in this example, but may include harmonics in real cases.

As described above, a biased periodic structure (with a known applied overlay bias) can be used to measure overlay, rather than relying on a single measurement. The bias has a known value defined in the patterning device (e.g. reticle) that is used as an overlay on-substrate calibration corresponding to the measured intensity asymmetry. In the figure, the calculations are graphically illustrated. In steps S1-S5, intensity asymmetry measurements A are obtained for periodic structures to which biases + d and-d, respectively, are applied+dAnd A-d(e.g., as shown in fig. 7B and 7C). Fitting these measurements to a sinusoid gives points 704 and 706 as shown. Knowing the offset, the true overlay error OV can be calculated. The pitch P of the sinusoid is known from the design of the target. The vertical scale or amplitude of the curve 702 is not known at the beginning, but may be referred to as an unknown factor of the K value. The K value is a measure of the stack sensitivity of the intensity asymmetry measurement to the target. If the determined K value is not accurate, the determined overlap will also be inaccurate. Further, the value of K may be target specific, and the value of K varies across the substrate due to process variations across the substrate. For example, the K value between each target may vary due to chemical mechanical polishing or stack thickness.

In the terms of the equation, the relationship between the overlay error OV, the value of K and the intensity asymmetry a is assumed to be:

A±d=K sin(OV±d) (1)

wherein the overlay error OV is expressed in a scale such that the target pitch P corresponds to an angle of 2 pi radians. In the case of two measurements using gratings with different known biases (e.g., + d and-d), the overlay error OV is calculated using the following equation:

FIG. 10 depicts an example graph of stack sensitivity as a function of wavelength of incident radiation. The stack sensitivity may be understood as a measure of how the sensitivity of the intensity asymmetry measurement changes when the wavelength of the incident radiation changes. The stack sensitivity or K-value varies between different target stacks and is also highly dependent on the wavelength of the incident radiation. Measurements made at higher K values are more reliable, so the stack sensitivity or K value represents the target scalability. In the example shown in fig. 10, a metrology target in the form of a composite grating having a pitch of, for example, 625nm, is illuminated with incident radiation comprising a wavelength and a spectrum of orthogonal polarizations, and the values of the stack sensitivities form a rocking curve that oscillates between, for example, 0 and ± 0.3 (arbitrary units) as the wavelength is varied. Curves 1010 and 1012 are plots of average stack sensitivity versus incident radiation wavelength, for example, for orthogonal polarizations of 0 degrees and 90 degrees, respectively. It should be noted that the stack sensitivities or K values presented herein are for exemplary purposes only and may vary under different radiation conditions or for different targets.

As shown in fig. 10, it is desirable to select a particular wavelength at which the stack sensitivity reaches a maximum in order to achieve a more robust and reliable measurement. However, to meet such conditions, the wavelength selection may have to be accurate, and any process variations or changes of the incident radiation may result in a shift of the wobble curve, and the stack sensitivity may no longer be at its maximum under the previously selected radiation conditions. For example, variations in the stack properties of thick stack devices may result in shifts in the swing curve. As the vertical distance between the gratings used to form the target becomes larger, the ratio of the stack sensitivity to the wavelength shows a reduced periodicity as a function of wavelength. This is evident for modern high density circuits such as 3D NAND devices, since in practice there may be considerable height steps. The stack difference between adjacent periodic structures of the target or between adjacent targets may be a factor that adversely affects the accuracy of the measurement, particularly overlay measurements. Further details regarding stack variation and measurement accuracy can be found in european patent application EP16166614.4, which is incorporated herein by reference in its entirety.

Stack-up differences may be understood as un-engineered differences in physical configuration between adjacent periodic structures or targets. Stack differences result in differences in optical properties (e.g., intensity, polarization, etc.) of the measurement radiation between adjacent periodic structures or targets due to factors other than overlay error, other than intentional bias, and other than structural asymmetry common to adjacent periodic structures or targets. Stack differences include, but are not limited to, thickness differences between adjacent periodic structures or adjacent targets (e.g., thickness differences of one or more layers such that one periodic structure or target is above or below another periodic structure or target designed to be at a substantially equal level), refractive index differences between adjacent periodic structures or targets (e.g., differences in refractive index of one or more layers such that the combined refractive index of one or more layers of one periodic structure or target is different from the combined refractive index of one or more layers of another periodic structure or target even designed to have a substantially equal combined refractive index), material differences between adjacent periodic structures or targets (e.g., differences in material type, material uniformity, etc. of one or more layers such that the material of one periodic structure or target is different from another periodic structure or target designed to have a substantially same material) Different in sign), a difference in grating period of structures of adjacent periodic structures or targets (e.g., a difference in grating period of one periodic structure or target from another periodic structure or target designed to have substantially the same grating period), a difference in depth of structures of adjacent periodic structures or targets (e.g., a difference due to etching in the depth of a structure of one periodic structure or target from etching of a structure of another periodic structure or target designed to have substantially the same depth), a difference in width (CD) of a feature of an adjacent periodic structure or target (e.g., a difference in width of a feature of one periodic structure or target from width of another periodic structure or target designed to have a feature of substantially the same width), and the like. In some examples, stack differences are introduced by processing steps (e.g., CMP, layer deposition, etching, etc.) during patterning.

As mentioned above, stack differences result in variations in the optical properties of the measurement radiation between adjacent periodic structures or targets, so the stack sensitivity measurements can be tuned by changing target design parameters (such as grating pitch, CD or target profile), as explained further below with reference to fig. 11.

Fig. 11 shows a first periodic structure 1101 with a target in the form of a composite grating offset by + d and an adjacent second periodic structure 1106 with a target in the form of a composite grating offset by-d. A first incident measurement radiation beam 1110 impinges on the first structure 1105 and the second structure 1103 of the first periodic structure 1101, wherein there is a bias + d between the first structure 1105 and the second structure 1103. As a result, the-1 diffraction order signals 1130 and 1120 are diffracted by the first structure 1105 and the second structure 1103, respectively. The-1 diffraction order signal diffracted by the first periodic structure 1101, i.e. 1101,which may be understood as a combination of-1 diffraction order signals 1130 and 1120. In addition, +1 diffraction order signals 1150 and 1140 are diffracted by first structure 1105 and second structure 1103, respectively. The +1 diffraction order signal diffracted by the first periodic structure 1101, namely 1101,can be understood as a combination of +1 diffraction order signals 1150 and 1140. Thus, the-1 diffraction order signal diffracted by the first periodic structure 1101,and the +1 diffraction order signal diffracted by the first periodic structure 1101,can be collectively expressed by the following equation:

where C represents the contrast of the signal (which is a function of periodic structure design, measurement wavelength, etc.),t is the thickness of the first periodic structure, λ is the wavelength of the measuring radiation, and the phase termOV is the actual overlap (due to any unintentional misalignment of the layers) and P is the pitch of the first structures 1105 and the second structures 1103 of the first periodic structure 1101. In fig. 12, the intensity profile of the-1 diffraction order signal diffracted by the first periodic structure 1101,and a +1 diffraction order signal diffracted by the first periodic structure 1101Depicted in traces 1160 and 1170, respectively, according to equation (3).

Similarly, a second incident measurement radiation beam 1115 impinges on first structure 1109 and second structure 1107 of second periodic structure 1106, with an offset-d between first structure 1109 and second structure 1106. As a result, the-1 diffraction order signals 1135 and 1125 are diffracted by the first structure 1109 and the second structure 1107, respectively, of the second periodic structure 1106. The-1 diffraction order signal diffracted by the second periodic structure 1106, i.e.Can be understood as a combination of-1 diffraction order signals 1135 and 1125. Additionally, +1 diffraction order signals 1155 and 1145 are diffracted by first structure 1109 and second structure 1107, respectively. The +1 diffraction order signal diffracted by the second periodic structure 1106, i.e.Can be understood as a combination of the +1 diffraction order signals 1155 and 1145. Therefore, the-1 diffraction order signal diffracted by the second periodic structure 1106,and the +1 diffraction order signal diffracted by the second periodic structure 1106,can be collectively expressed by the following equation:

where C represents the contrast of each signal,t is the thickness of the second periodic structure, λ is the wavelength of the measuring radiation, and the phase termOV is the actual overlap (due to any unintentional misalignment of the layers) and P is the pitch of the first structures 1109 and the second structures 1107 of the second periodic structure 1106. In fig. 13, the intensity profile of the-1 diffraction order signal diffracted by the second periodic structure 1106,and the intensity profile of the +1 diffraction order signal diffracted by the second periodic structure 1106,depicted in traces 1180 and 1190, respectively, according to equation (4).

Now, fig. 14 shows a case where there is a stack difference between a first periodic structure 1201 having an offset of + d and an adjacent second periodic structure 1206 having an offset of-d. In this case, the lamination difference is a difference in thickness as shown in fig. 14, and is described below. Similar to fig. 13, a first incident measurement radiation beam 1210 impinges on a first structure 1205 of the first periodic structure 1201 and a second structure 1203 of the first periodic structure 1201, respectively. As a result, -1 diffractionThe order signals 1230 and 1220 are diffracted by the first and second structures 1205 and 1203, respectively. Thus, the-1 diffraction order signal diffracted by the first periodic structure 1201Can be understood as a combination of-1 diffraction order signals 1230 and 1220. Additionally, +1 diffraction order signals 1250 and 1240 are diffracted by first structure 1205 and second structure 1203, respectively. Thus, the +1 diffraction order signal diffracted by the first periodic structure 1201Can be understood as a combination of +1 diffraction order signals 1250 and 1240.

Similarly, a second incident measurement radiation beam 1215 impinges on the first and second structures 1209 and 1207, respectively, of the second periodic structure 1206. As a result, the-1 diffraction order signals 1235 and 1225 are diffracted by the first structure 1209 and the second structure 1207, respectively. Thus, the-1 diffraction order signal diffracted by the second periodic structure 1206Can be understood as a combination of-1 diffraction order signals 1225 and 1235. Additionally, +1 diffraction order signals 1255 and 1245 are diffracted by first structure 1209 and second structure 1207, respectively. Thus, the +1 diffraction order signal diffracted by the second periodic structure 1206Can be understood as a combination of +1 diffraction order signals 1255 and 1245.

As an example of the lamination difference, the first and second periodic structures 1201 and 1206 may have a thickness difference, as shown in fig. 14. However, in another example, the stack difference may be caused by one or more other factors that allow for additional or alternative differences in the un-designed physical configuration between the first periodic structure 1201 and the second periodic structure 1206. For example, a stack difference may be generated when the first periodic structure 1201 is more opaque to the first measurement radiation beam 1210 than the second periodic structure 1206. For example, there may be a difference in materials (e.g., the same type of material having different refractive indices, different types of materials, etc.) between the first periodic structure 1201 and the second periodic structure 1206. As another example, the pitch of the first periodic structure 1201 with respect to the second periodic structure 1206 may be different even though they are designed to have substantially the same pitch. These examples of stack differences are not the only way in which stack differences can exist and therefore should not be considered limiting.

Referring back to equations (3) and (4), the stack difference may introduce three additional terms in each of equations (3) and (4). First term Δ INRepresenting the actual change in the strength of the corresponding signal. Second term Δ CNRepresenting the actual change in contrast of the corresponding signal. The third term Δ β represents the actual change in phase of the corresponding signal. These three terms depend on the wavelength and/or polarization of the measurement radiation beams 1210 and 1215. Thus, in the presence of stack differences, the-1 diffraction order signal diffracted by the first periodic structure 1201And a +1 diffraction order signal diffracted by the first periodic structure 1201Can be collectively represented by the following equation:

as described above, an example of a stack difference or target design is a pitch difference, i.e., a pitch difference between the first periodic structure 1201 with respect to the second periodic structure 1206. The swing curve of the stack sensitivity is a function of the target design according to equations (1) to (5). Appropriate adjustments may be made for different target design parameters, such as pitch, CD, sidewall angle, target profile, etc., and multiple design parameters may be adjusted simultaneously.

FIG. 15 shows various swing curves relative to a modified target pitch according to one embodiment, while other target design parameters remain unchanged in this example for simplicity only. Target clusters have been fabricated with target pitches varying between 600nm and 740nm using targets similar to the targets depicted in figures 4, 13 and 14. Curves 1501, 1503 and 1505 are selected to be shown in the various graphs herein, where each curve plots stack sensitivity with respect to incident radiation wavelength for target pitches of 600nm, 620nm and 640nm, respectively. It should be noted that these pitches, wavelengths and resulting wobble curves are chosen as examples only and should not be considered limiting. As the target pitch varies, the amplitude of the wobble curve changes and the peak (maximum stack sensitivity) is also shifted horizontally along the x-axis (incident radiation wavelength). As a result, there may be a desired target design with maximum stack sensitivity for a particular wavelength of incident radiation. Alternatively, the desired incident radiation wavelength may be determined for each target design where the stack sensitivity reaches a maximum. Similarly, for a particular polarization of incident radiation, there may be a desired target design with maximum stack sensitivity. Alternatively, the desired polarization of the incident radiation may be determined for each target design where the sensitivity of the stack reaches a maximum.

The metrology targets described above are also designed for one or more particular layers associated with a particular process stack (i.e., a process stack is the process and material used to construct a particular device of that layer or portion thereof, e.g., one or more material layers involved (e.g., thickness and/or material type thereof), lithographic exposure processes, resist development processes, bake processes, etch processes, etc.), with the flexibility that the metrology targets will provide measurement robustness against nominal variations in the process stack. That is, the metrology targets are designed using knowledge of the process layers (e.g., their materials, thicknesses, etc.), process variations, or process steps applied to the layers, etc., to achieve a metrology target that will give the best measurement results for the measured lithographic process parameters.

As mentioned above, metrology target measurements are most robust and reliable when the absolute value of the stack sensitivity or K-value is greatest for a particular incident radiation wavelength, polarization, or process stack.

FIG. 16 is a flow chart of an example method 1600 of improving robustness and scalability of a metrology target stack in accordance with an embodiment of the present disclosure. Other method steps may be performed between the various steps of method 1600 and are omitted for clarity only. Not all of the steps of the method 1600 described below are required, and in some cases, the steps may not be performed in the order shown.

The method 1600 begins at step 1602, where a number N of multi-layer targets are fabricated on a wafer for any suitable lithography/metrology tool. Examples of target designs and configurations are described above with reference to fig. 3 or 7A-7B. The number of multi-layered targets N is not limited to four as shown in fig. 3, and may be selected based on measurement needs. Multiple layers of the target may be grouped at one location on the wafer, or may be placed at different locations to study target properties across a larger area on the wafer. The design of the multi-layer target may be varied between each target by modifying one or more geometric or manufacturing parameters including, but not limited to, pitch, CD, sub-segments, sidewall angle, duty cycle of lines and spaces, height, width, material, etc.

The method 1600 continues to step 1604 where the multi-layer target is illuminated with incident illumination radiation. The incident illumination radiation may include variations in wavelength, polarization, or beam profile, among others. The illumination profile may be determined based on a metrology target design. An overlay measurement is extracted for each metrology target from the difference in light intensity of the positive first diffraction order and the negative first diffraction order reflected by the metrology target.

The method 1600 continues to step 1606 where at least a number N of overlay sensitivity values K from clusters of the multi-layer target are determined based on the overlay measurements at step 1606iWhere i ∈ [1, N ]]. Value of lamination sensitivity KiThe determination of (a) may be performed by a computer processor using a computer-implemented method. As described above, stack sensitivity or K-value may vary across the wafer due to process perturbations, and may be different between each multi-layer target. Thus, each of the multiple layersMark TiHaving KiA value of K of (1), wherein i ∈ [1, N ]]。

The method 1600 continues to step 1608 where metrology parameters of the multi-layer target are selected or adjusted to achieve a large value of K. The determination of the metrology parameters may be performed by a computer processor using a computer-implemented method. Metrology parameters may include, but are not limited to, geometric or manufacturing parameters such as pitch, CD, sub-segments, sidewall angle, duty cycle of lines and spaces, height, width, refractive index, and the like. The simulation package may be used to select or adjust metrology parameters of the multi-layer target design such that the maximum value of K is achieved to provide the most robust and reliable measurement. Examples of simulation packages may include the most important method called "design for control" (abbreviated as D4C). Further details of D4C can be found in U.S. patent publication US20160140267, which is incorporated herein by reference in its entirety.

Based on the determination process described above with reference to method 1600, the processing parameters of the metrology system may also be calibrated to achieve the most robust and reliable measurement. For example, processing parameters such as the wavelength of radiation used in the metrology system for the target, the polarization of the radiation used in the metrology system, and the numerical aperture of the metrology system may be adjusted.

FIG. 17A is a flow diagram of an example method 1700 for improving robustness and scalability of a metrology target stack in accordance with another embodiment of the present disclosure. Other method steps may be performed between the various steps of method 1700 and are omitted for clarity only. Not all of the steps of method 1700 described below are required, and in some cases, the steps may be performed in a different order.

FIG. 17B is an example graph of K-values as a function of metrology target site for different metrology target designs, according to one embodiment.

The method 1700 begins at step 1702 where a number N of multi-layer targets are fabricated on a wafer by any suitable lithography/metrology equipment. Similar to the metrology target design described in step 1602 above, metrology parameters of the multi-layer target may include, but are not limited to, geometric or manufacturing parameters, such as pitch, CD, sub-segments, sidewall angles, duty cycle of lines and spaces, height, width, refractive index, and the like. Clusters of multiple layers of targets may be formed across different regions of the wafer, and each region may include multiple targets having different designs. Thus, objects of similar design can be placed simultaneously across the wafer surface while also placing objects of different design in close proximity at a particular area on the wafer.

The method 1700 continues to step 1704, where the multi-layer target is illuminated with incident illumination radiation. The incident illumination radiation may include variations in wavelength, polarization, or beam profile, etc., and the illumination profile may be determined based on a metrology target design. An overlay measurement is extracted for each metrology target from the difference in light intensity of the positive first diffraction order and the negative first diffraction order reflected by the metrology target.

The method 1700 continues to step 1706 where at least a number N of stack sensitivity values K from clusters of the multi-layered target are determined based on the overlay measurementsiWhere i ∈ [1, N ]]. Value of lamination sensitivity KiThe determination of (a) may be performed by a computer processor using a computer-implemented method. As described above, stack sensitivity or K-value may vary across the wafer due to process perturbations, and may be different between each multi-layer target. Thus, each multi-layered target TiHaving KiAnd is given a multiplication factor alphaiWhere i ∈ [1, N ]]. It should be noted that the sensitivity values are presented herein as exemplary modification (or optimization) parameters, and any suitable modification parameter having any reference value may be used, such as, but not limited to, a target coefficient or an overlay error. Multiplication factor alphaiAre coefficients that can be modified based on processing conditions and can be the result of any correlation analysis, such as Principal Component Analysis (PCA). Different correlation analysis methods may be used and PCA analysis is referred to herein as an example only. PCA is a mathematical procedure well known in the art and need not be discussed in detail here.

The method 1700 continues to step 1708 where the weighted sum of Ki is adjusted to reach a maximum value. The determination and optimization of the stack sensitivity values Ki can be calculatedThe computer processor is executed using a computer-implemented method. According to the embodiments of the present disclosure, whenAt the maximum, the metrology target is measured to be the most robust and reliable, while at the same time being the most robust and reliableAnd alphai∈[-1,1]. For example, a larger multiplication factor (e.g., α) may be usedi1) to target measurement values with higher sensitivity values, so that they are given more weight in the calculated sum, and e.g. aiA lower multiplication factor of-1 may be assigned to target measurements with lower sensitivity values, so that they are given less weight in the calculated sum. Referring to fig. 17B as an example, K values are measured for various target designs at various locations across the wafer. At particular locations, K values 1711, 1713, 1715, and 1717 are determined for metrology targets 1711 ', 1713', 1715 ', and 1717' (not shown), respectively. It should be understood that the K value or metrology target herein is for purposes of illustration by way of example and not limitation, and that multiple metrology targets having different designs may be formed on a wafer. Large multiplication factor alphai1 is assigned to the K value 1711 because it has a high sensitivity value. Similarly, a low multiplication factor αiA K value 1717 is assigned to-1 because it has a low sensitivity value. The determination of the multiplication factor may also depend on the correlation between the optimization factor and any target property, such as site, overlay indicator, pitch, CD, sub-segment, sidewall angle, duty cycle of lines and spaces, height, width, material, etc. As described above, different correlation analysis methods may be used.

The method 1700 continues to step 1710, where metrology parameters for the multi-layer target are calculated. The final metrology parameter value for a cluster of N multi-layer targets is the metrology parameter P measured for each multi-layer targetiAs shown in the following equation:

wherein i ∈ [1, N ]]. Thus, it is possible to base the individual metrology parameters P calculated from each multi-layer target oniAnd multiplication factor alphaiTo modify (or optimize) the final metrology parameter P.

Based on the determination process described above with reference to method 1700, metrology target designs, such as grating designs, can be further modified to accommodate various lithographic processes and process perturbations and achieve maximum robustness and scalability. For example, a method and system for automatically generating robust metrology targets includes D4C.

Based on the determination process described above with reference to method 1700, the process parameters of the lithography system can be calibrated to achieve the most robust and reliable measurement. For example, processing parameters such as wavelength of radiation used in the metrology system of the target, polarization of radiation used in the metrology system, numerical aperture of the metrology system may be adjusted based on the stack sensitivity measurements from the metrology target.

FIG. 18A is a flow diagram of an example method 1800 of improving the robustness and scalability of an overlay in a metrology target stack, according to another embodiment of the present disclosure. Other method steps may be performed between the various steps of method 1800 and are omitted for clarity only. Not all of the steps of method 1800 described below are required, and in some cases, the steps may be performed in a different order.

FIG. 18B is an example graph of overlay values for different metrology target designs as a function of metrology target site, in accordance with one embodiment.

The method 1800 begins at step 1802, where a number N of multi-layer targets are fabricated on a wafer used in an overlay metrology method by the inspection apparatus of FIG. 3 or any suitable lithographic/metrology apparatus. Similar to the metrology target design described in step 1602 above, the design may be varied between each multi-layer target by modifying one or more geometric or manufacturing parameters (including, but not limited to, pitch, CD, sub-segments, sidewall angles, duty cycle of lines and spaces, height, width, refractive index, etc.). As noted above, clusters of multiple layers of targets may be formed on different regions across the wafer, and each region may include multiple targets having different designs.

Method 1800 continues to step 1804, where the multi-layer target is illuminated with the incident illumination radiation. The incident illumination radiation may include variations in wavelength, polarization, or beam profile, among others. The illumination profile may be determined based on a metrology target design. An overlay measurement value for each metrology target is extracted from a difference in light intensity of a positive first diffraction order and a negative first diffraction order of scattered light of the metrology target.

The method 1800 continues to step 1806 where at least a number N of stack sensitivity values K of clusters from the multi-layered target are determined based on the overlay measurement at step 1806iWhere i ∈ [1, N ]]. Value of lamination sensitivity KiThe determination of (a) may be performed by a computer processor using a computer-implemented method. As described above, stack sensitivity or K-value may vary across the wafer due to process perturbations, and may be different between each multi-layer target. Thus, each multi-layered target TiHaving KiK value of (3). As noted above, the stack sensitivity value is thus presented as an exemplary modified value, and any suitable modified value having any reference value may be used.

Method 1800 continues to step 1808 by using equations (2) and (d) from aboveTo calculate each multi-layered target TiOverlap value OV ofi. Each sensitivity value KiIs endowed with multiplication factorWherein i ∈ [1, N ]]. Multiplication factorAre coefficients that can be modified based on processing conditions and external reference overlap values, and are also modifiedMay be the result of any correlation analysis, such as Principal Component Analysis (PCA). As noted above, different correlation analysis methods may be used, and PCA analysis is referred to herein as an example only.

Method 1800 continues to step 1810, where the weighted sum of stack sensitivity values is adjusted. The determination and optimization of the stack sensitivity values may be performed by a computer processor using a computer-implemented method. According to the embodiments of the present disclosure, whenThe metrology target measurement is the most robust and reliable when the maximum is reached.

Multiplication factorMay be further adjusted based on the external reference overlap value to provide a more accurate measure of the final overlap value. Thus, an exemplary method of optimizing (or modifying) the final overlap value OV using the external reference overlap value is described with reference to fig. 18B. It should be understood that the overlay values or metrology targets herein are for purposes of illustration by way of example and not limitation, and that multiple metrology targets having different designs may be formed on a wafer. Overlay values are measured for various target designs at various locations across the wafer. At particular locations, overlap values 1811, 1813, 1815, and 1817' (not shown) are determined for metrology targets 1811', 1813', 1815', and 1817', respectively. For example, if multiple multi-layered targets TiIs systematically offset from the external reference overlap value 1819, each individual multiplication factor may also be adjusted based on the difference between the corresponding overlap value and the external reference overlap valueAs a result, multi-tiered targets having overlap values closer to the external reference overlap value 1819 may have relatively high multiplication factorsSo that they are given in the calculated sumMore weight, while multi-layered targets with overlap values deviating from external reference overlap values may have relatively low multiplication factorsSo that they are given less weight in the calculated sum. E.g. low multiplication factorWill be assigned to the overlap value 1811 because it has a relatively large difference between the external reference sensitivity values 1819. Similarly, high multiplication factorWill be assigned to the overlap value 1815 because it has a relatively small difference between the external reference sensitivity values 1819. Thus, the final overlap value OV may be further optimized (or modified) based on a linear combination of individual overlap value calculations from each multi-layered target, thereby providing a more robust measure than using a single target.

The method 1800 continues to step 1812, where the final overlap value OV for the cluster of N multi-layered targets passes through each overlap value OV via the following equationiTo calculate:

wherein i belongs to [1, N ]. Thus, the final overlap value OV can be optimized (or modified) based on the linear combination of the individual overlap value calculations from each multi-layer object.

Similarly, the final overlap value OV may also be based on the multiplication factor αiAnd external reference overlay values obtained from an external source (e.g., CD-SEM measurements or global metrology assessment (HMQ) estimates). Further details of HMQ can be found in PCT application WO2015/018625a1, which is incorporated by reference herein in its entirety.

Based on the above described process of determining the final overlap value OV, an appropriate metrology target design may be selected or further modified to accommodate various lithographic processes and process perturbations and to achieve maximum robustness and scalability. For example, a method and system for automatically generating robust metrology targets includes D4C.

Based on the determination process described above with reference to method 1800, the processing parameters of the lithography system can be calibrated to achieve the most robust and reliable measurement. For example, processing parameters such as the wavelength of radiation used in the metrology system for the target, the polarization of the radiation used in the metrology system, and the numerical aperture of the metrology system may be adjusted.

FIG. 19 is a flow diagram of an exemplary method 1900 of measuring a parameter of a lithographic process using a metrology target, according to an embodiment of the present disclosure. Other method steps may be performed between the various steps of method 1900 and are omitted for clarity only. Not all of the steps of method 1900 described below are required, and in some cases, the steps may not be performed in the order shown.

The method 1900 begins at step 1902, where light scattered by a plurality of metrology targets is measured. The plurality of metrology targets may be illuminated with incident radiation having an illumination profile, such as wavelength or polarization. The measurement of scattered light is performed in an optical instrument such as a scatterometer or other metrology tool. A plurality of metrology targets are designed using metrology parameters and produced by the manufacturing process. Examples of metrology parameters include, but are not limited to: the pitch of the grating used to form the metrology target, the CD, the angle of the lines making up the grating, the duty cycle of the lines and spaces making up the grating. Examples of manufacturing processes are, but not limited to: a lithographic manufacturing process using a lithographic projection apparatus. A pattern is imaged (e.g. in a mask) onto a substrate that is at least partially covered by a layer of radiation-sensitive material (resist). Prior to this imaging step, the substrate may undergo various steps such as priming, resist coating, and a soft bake. After exposure, the substrate may be subjected to other steps, such as post-exposure bake (PEB), development, hard bake, and measurement/inspection of imaged features. The array of steps serves as a basis for patterning a single layer of a device (e.g., a metrology target or IC). Such a patterned layer may then undergo various processes, such as etching, ion implantation (doping), metallization, oxidation, chemical mechanical polishing, etc., all intended to complete the individual layer.

The method 1900 continues to step 1904, where the weighted contribution from each metrology target is used to determine a lithographic process parameter for a plurality of metrology targets.

A method similar to method 1700 may be used to determine a weighted contribution from each metrology target, where a modification value is determined for each metrology target based on their respective scattered light measurements, and a multiplication value is determined for each modification value. The multiplication factors are determined by calculating and maximizing the sum of the multiplication factors times their respective correction values. For example, larger multiplication factors may be assigned to target measurements with higher modification values such that they are given more weight in the calculated sum, while lower multiplication factors may be assigned to target measurements with lower modification values such that they are given less weight in the calculated sum. Individual lithographic process parameters for each metrology target are also determined, and these individual lithographic process parameters are used to determine lithographic process parameters for the plurality of metrology targets by calculating the sum of the determined multiplication factor times its corresponding individual lithographic process parameter.

Alternatively, a method similar to method 1800 may be used to determine the weighted contribution from each metrology target, wherein the multiplication value is further determined using reference lithography process parameters. First, a modification value for each of the plurality of metrology targets is determined based on scattered light measurements of the plurality of metrology targets, and a multiplication value for each modification value is determined by maximizing a sum of the multiplication factor times its corresponding modification value. The multiplication factor is then further adjusted by determining individual lithographic process parameters for each metrology target and adjusting the multiplication factor based on the difference between the reference lithographic process parameter and its corresponding respective lithographic process parameter. The lithographic process parameter is then determined by determining the multiplication factor times the sum of its corresponding individual lithographic process parameters.

FIG. 20 is a flow diagram of an example method 2000 for metrology system calibration using metrology targets in accordance with an embodiment of the present disclosure. Other method steps may be performed between the various steps of method 2000 and are omitted for clarity only. Not all of the steps of the method 2000 described below are required, and in some cases, the steps may not be performed in the order shown.

The method 2000 begins at step 2002 where light scattered by a plurality of metrology targets is measured. Similar to method 1900, a plurality of metrology targets may be illuminated with incident radiation having an illumination profile, such as wavelength or polarization. The measurement of scattered light is performed in an optical instrument such as a scatterometer or other metrology tool. A plurality of metrology targets are designed using metrology parameters and are generated by the manufacturing process.

The method 2000 continues to step 2004 where a modified value is determined for each metrology target using the scattered light measurement for each metrology target. Thus, each multi-layered target has a certain modification value and multiplication factor. Examples of modification values include, but are not limited to, overlay sensitivity, target coefficients, or overlay error.

The method 2000 continues to step 2006 where the multiplication factors are determined by calculating and maximizing the sum of the multiplication factors multiplied by their corresponding modified values. Similar to method 1700, the multiplication factors are determined by calculating and maximizing the sum of the multiplication factors multiplied by their corresponding modified values. For example, larger multiplication factors may be assigned to target measurements with higher modification values such that they are given more weight in the calculated sum, while lower multiplication factors may be assigned to target measurements with lower modification values such that they are assigned less weight in the calculated sum. The measurement process may be calibrated by using the determined multiplication factor and its corresponding metrology target.

With metrology targets that provide higher modification values, the processing parameters of the metrology system may also be calibrated to achieve the most robust and reliable measurements. For example, a processing parameter may be selected for subsequent measurements on which metrology targets with high modification values are designed to provide the most robust measurement. Processing parameters include, but are not limited to, the wavelength or polarization of incident radiation used in the metrology system for the metrology target measurement, the process stack configuration, or the numerical aperture of the metrology system.

FIG. 21 is a flow diagram of an example method 2100 for metrology target design in accordance with an embodiment of the present disclosure. Other method steps may be performed between the various steps of method 2100 and are omitted for clarity only. Not all of the steps of method 2100 described below are required, and in some cases, the steps may not be performed in the order shown.

The method 2100 begins at step 2102 in which a plurality of metrology parameters are provided to a computer device for generating a plurality of metrology target designs corresponding to a plurality of metrology targets. Similar to the metrology target described in method 1700, the metrology parameters are geometric or manufacturing parameters for the metrology target and are exemplified by, but not limited to, pitch, CD, sub-segments, sidewall angles, duty cycle of lines and spaces, height, width, refractive index, and the like. Each metrology target is designed to be disposed at a different location on the substrate. As described above with reference to fig. 5, a plurality of composite targets are placed at different locations on the substrate W so that measurements and information about desired areas on the substrate W can be obtained. Thus, clusters of multiple layers of targets may be formed across different regions of the wafer, and each region may include multiple sub-targets having different designs. Targets of similar design can be placed simultaneously across the wafer surface while also placing targets of different designs in close proximity at specific areas on the wafer.

The method 2100 continues to step 2104, where illumination parameters for incident radiation for measuring the plurality of metrology targets are received in a computer device. The illumination parameters may include variations in wavelength, polarization, or beam profile, etc.

The method 2100 continues to step 2106 where the computer device determines metrology parameters for each metrology target using process stack information or illumination parameters of the substrate. Metrology parameters are determined such that selecting different metrology targets will provide different measurement results under incident radiation or process stack configurations. As described above with reference to fig. 15, there may be a desired target design that provides maximum stack sensitivity for a particular illumination parameter or process stack. For example, a target design may be determined such that the stack sensitivity for measurements using a desired wavelength or polarization of incident radiation is maximized. Similarly, a target design may be determined such that the stack sensitivity of the stack is maximized for the desired incident process.

FIG. 22 schematically depicts the form of a multi-grating metrology target disposed on a substrate by a fabrication process, in accordance with an embodiment of the present disclosure. Similar to the composite target described with reference to FIG. 5, the multi-grating metrology target 2202 includes at least two sub-targets that are closely positioned together so that they will both be located within a measurement spot formed by an illumination beam of the metrology device. The two sub-targets have different geometric or manufacturing parameters, such as pitch, CD, sub-segments, sidewall angle, duty cycle of lines and spaces, height, width, refractive index, etc. This may be accomplished by adjusting metrology parameters used to design metrology targets. The design of the sub-targets is determined such that the stack sensitivity of the sub-targets is maximized for the desired wavelength or polarization of the incident radiation. Similarly, the sub-target design can be determined such that the stack sensitivity reaches a maximum for the desired incident process stack. Thus, the metrology target provides different measurement sensitivities under various incident radiation or process stack configurations.

A plurality of multi-grating metrology targets 2202 are placed at different locations on the substrate W. The location selection is determined based on measurement requirements, such as, but not limited to, whether measurement information is required for the location of the substrate W or whether process variation effects on metrology measurements, such as stack depth variation effects, are eliminated. Thus, similarly designed metrology targets, each having multiple sub-targets, may be simultaneously placed across the wafer surface, while targets having different designs are also placed in close proximity at specific areas on the wafer.

Although the target structures described herein are metrology targets specifically designed and formed for measurement purposes, in other embodiments, the properties may be measured on targets that are functional portions of devices formed on the substrate. Many devices have a regular grating-like structure. The terms "target", "target grating" and "target structure" as used herein do not require that the structure already be specifically set up for the measurement being taken.

Although overlay targets in the form of a raster have been described, in embodiments other target types may be used, such as overlay targets based on box-in-box (box) images.

Although metrology targets have been primarily described to determine overlay, alternatively or additionally, metrology targets may be used to determine one of more other characteristics such as focus, dose, etc.

Metrology targets according to embodiments may be defined using a data structure, such as a pixel-based data structure or a polygon-based data structure. For example, polygon-based data structures may be described using the GDSII data format, which is quite common in the chip manufacturing industry. However, any suitable data structure or data format may be used without departing from the scope of the embodiments. The metrology targets may be stored in a database from which a user may select a desired metrology target for a particular semiconductor processing step. Such a database may include multiple metrology targets or a single metrology target selected or identified according to an embodiment. The database may also include a plurality of metrology targets, wherein the database includes additional information for each of the plurality of metrology targets. This additional information may include, for example, information relating to the suitability and/or quality of metrology targets for a particular lithographic process step, and may even include the suitability and/or quality of a single metrology target for different lithographic process steps. The suitability and/or quality of a metrology target may be expressed in a suitability value and/or quality value, respectively, or any other value that may be used in a selection process to select a metrology target from a database for a particular lithographic process step.

In one embodiment, the computer-readable medium may include instructions for activating at least some of the method steps using a connection from a remote computer or remote system to the computer-readable medium. Such a connection may be generated, for example, over a secure network or via a (secure) connection on the world wide web (internet). In this embodiment, a user may log in, for example, from a remote location to determine the suitability and/or quality of a metrology target design using a computer-readable medium. The proposed metrology target design may be provided by a remote computer (or by an operator who uses the remote computer to provide the metrology target design to the system to determine the suitability of the metrology target design). Thus, the proposed metrology target design simulated using the model may be owned by a different entity or company than the model used in the simulation process. The resulting determined suitability for evaluating metrology target quality may then be provided back to the remote computer, e.g., without leaving any residual detail more than the proposed metrology target design or simulation parameters used. In such embodiments, the customer may obtain the option to run an evaluation of the separately proposed metrology target design without having to own the software or a copy of the software at its remote location. Such options may be obtained, for example, by user agreement. A benefit of such a user protocol may be that the model used in the simulation may always be the most up-to-date and/or the most detailed model that is available without having to locally update any software. Furthermore, by separating the simulation model and the proposed metrology target proposals, the details of the design's identification or the different layers used for processing need not be shared by two companies.

In association with the physical grating structure of the target being implemented on the substrate and the patterning device, embodiments may include a computer program containing one or more sequences of machine-readable instructions describing the target, methods of producing a target on a substrate, measuring a target on a substrate, and/or analyzing measurements to obtain information about a lithographic process. The computer program may be executed, for example, in the unit PU in the devices of fig. 3 and 4 and/or the control unit LACU of fig. 2. A data storage medium (e.g., semiconductor memory, magnetic or optical disk) having such a computer program stored therein may also be provided. In the case where an existing apparatus (e.g. of the type shown in fig. 1-4) is already in production and/or use, embodiments may be implemented by providing an updated computer program product for causing a processor of the apparatus to perform the methods as described herein.

Embodiments of the invention may take the form of a computer program containing one or more sequences of machine-readable instructions describing a method as disclosed herein, or a data storage medium (e.g. semiconductor memory, magnetic or optical disk) having such a computer program stored therein. Also, the machine readable instructions may be embodied in two or more computer programs. The two or more computer programs may be stored on one or more different memories or data storage media.

Any controller described herein may be operable, each or in combination, when one or more computer processors located within at least one component of the lithographic apparatus read one or more computer programs. The controllers may each or in combination have any suitable configuration for receiving, processing, and transmitting signals. The one or more processors are configured to communicate with the at least one controller. For example, each controller may comprise one or more processors for executing a computer program comprising machine-readable instructions for the above-described method. The controller may comprise a data storage medium for storing such a computer program, and/or hardware for housing such a medium. Thus, the controller may operate according to machine-readable instructions of one or more computer programs.

The present disclosure may also be described using the following aspects:

I. a method of metrology target design, the method comprising:

receiving an illumination parameter for measuring a metrology target, an

Metrology parameters associated with the metrology target design are selected and/or adjusted for improving the accuracy and/or robustness of measurements of the metrology target design using the illumination parameters.

A method for determining a parameter of a lithographic process, comprising:

receiving light scattered from a region comprising at least two metrology targets optimized to provide robust and optimal metrology measurements, and

parameters of the lithographic process are determined based on the weighted contribution of each individual metrology target.

Other embodiments according to the invention are further described in the following numbered aspects:

1. a method, comprising:

measuring light scattered by a plurality of metrology targets, the plurality of metrology targets having been designed using metrology parameters and produced by a manufacturing process; and

determining a lithographic process parameter for a plurality of metrology targets using the weighted contribution from each metrology target.

2. The method of aspect 1, wherein the weighted contribution is calculated by determining a multiplication factor and a modification value for each metrology target.

3. The method of aspect 2, wherein determining the multiplication factors further comprises determining a sum of the multiplication factors multiplied by their respective modified values.

4. The method of aspect 3, wherein determining the lithographic process parameter comprises adjusting the multiplication factor such that the sum is maximized.

5. The method of aspect 4, wherein determining the lithographic process parameters further comprises determining individual lithographic process parameters for each metrology target, and determining the multiplication factor multiplied by the sum of their respective individual lithographic process parameters.

6. The method of aspect 1, wherein the modified value is a target coefficient or an overlay error of the plurality of metrology targets.

7. The method of aspect 1, wherein the modified value is a stack sensitivity value of the plurality of metrology targets.

8. The method of aspect 1, wherein the lithographic process parameter is an overlay value.

9. The method of aspect 1, wherein the metrology parameters include material selection, critical dimension, sub-segment, or sidewall angle.

10. The method of aspect 1, wherein the plurality of metrology targets comprises a multi-layer periodic structure.

11. The method of aspect 10, wherein the metrology parameters of the multilayer periodic structure include pitch, duty cycle of lines and spaces, height, or width.

12. The method of aspect 1, wherein the plurality of metrology targets are designed for different wavelengths or polarizations of incident radiation or process stack.

13. The method of aspect 1, wherein determining the lithographic process parameter further comprises using a reference lithographic process parameter.

14. The method of aspect 13, wherein the weighted contribution is calculated by determining a multiplication factor and a modification value for each metrology target.

15. The method of aspect 14, wherein the multiplication factors are determined using a sum of the multiplication factors multiplied by their respective modified values.

16. The method of aspect 15, wherein the multiplication factor is further determined by determining individual lithographic process parameters for each metrology target and adjusting the multiplication factor based on differences between the reference lithographic process parameters and their respective individual lithographic process parameters.

17. The method of aspect 16, wherein determining the lithographic process parameters further comprises determining the multiplication factor multiplied by a sum of their respective lithographic process parameters.

18. A method, comprising:

measuring light scattered by a plurality of metrology targets, the plurality of metrology targets having been designed using metrology parameters and produced by a manufacturing process;

determining a modified value for each metrology target; and

a multiplication factor for each metrology target is determined based on the respective modified value for each metrology target.

19. The method of aspect 18, wherein determining the multiplication factors includes determining a sum of the multiplication factors multiplied by their respective modified values.

20. The method of aspect 19, wherein determining the multiplication factor further comprises adjusting the multiplication factor such that the sum is maximized.

21. The method of aspect 18, wherein the modified value is a target coefficient or overlay error of the plurality of metrology targets.

22. The method of aspect 18, wherein the modified value is a stack sensitivity value of the plurality of metrology targets.

23. The method of aspect 18, wherein the lithographic process parameter is an overlay value.

24. The method of aspect 18, wherein the metrology parameters include material selection, critical dimension, sub-segment, or sidewall angle.

25. The method of aspect 18, wherein the plurality of metrology targets comprises a multi-layer periodic structure.

26. The method of aspect 25, wherein the metrology parameters of the multilayer periodic structure include pitch, duty cycle of lines and spaces, height, or width.

27. The method of aspect 18, wherein the plurality of metrology targets are designed for different wavelengths or polarizations of incident radiation or process stack.

28. A method of metrology target design, the method comprising:

providing a plurality of metrology parameters for generating a plurality of metrology target designs corresponding to a plurality of metrology targets, wherein each metrology target is designed to be disposed at a different location on the substrate, respectively;

receiving illumination parameters for measuring the plurality of metrology targets; and

determining, by a computer device, a plurality of metrology parameters for each metrology target using process stack information or illumination parameters of the substrate.

29. The method of aspect 28, wherein the illumination parameter comprises a wavelength value or polarization of incident radiation.

30. The method of aspect 28, wherein at least one metrology target is designed for different illumination parameters.

31. The method of aspect 28, wherein at least one metrology target is designed for a different process stack.

32. The method of aspect 28, wherein at least one metrology target is designed for incident radiation of a different wavelength or polarization.

33. A metrology target comprising:

a plurality of metrology targets disposed at different locations on a substrate by a manufacturing process, wherein each metrology target comprises at least a first metrology sub-target and a second metrology sub-target that are different in design.

34. The metrology target of aspect 33, wherein the first and second metrology sub-targets are designed for different process stacks.

35. The metrology target of aspect 33, wherein the first and second metrology sub-targets are designed for different illumination parameters.

36. The metrology target of aspect 35, wherein the illumination parameter comprises a wavelength value or polarization of incident radiation.

Although specific reference may have been made above to the use of embodiments in the context of optical lithography, it will be appreciated that embodiments of the invention may be used in other applications, for example imprint lithography, and where the context allows, are not limited to lithography. In imprint lithography, a topography in a patterning device defines the pattern created on a substrate. The topography of the patterning device may be pressed into a layer of resist provided to the substrate whereupon the resist is cured by applying electromagnetic radiation, heat, pressure or a combination thereof. After the resist is cured, the patterning device is moved out of the resist, leaving a pattern therein.

Moreover, although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of integrated circuits, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc. Those skilled in the art will appreciate that, in the context of such alternative applications, any use of the terms "wafer" or "die" herein may be considered as synonymous with the more general terms "substrate" or "target portion", respectively. The substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. In addition, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.

The terms "radiation" and "beam" used herein encompass all types of electromagnetic radiation, including Ultraviolet (UV) radiation (e.g. having a wavelength of or about 365nm, 355nm, 248nm, 193nm, 157nm or 126 nm) and extreme ultra-violet (EUV) radiation (e.g. having a wavelength in the range of 5nm to 20nm), as well as particle beams, such as ion beams or electron beams.

The term "lens", where the context allows, may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components.

The above description is intended to be illustrative and not restrictive. Thus, it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below. For example, one or more aspects of one or more embodiments may be combined with or substituted for one or more aspects of one or more other embodiments, as appropriate. Therefore, such adaptations and modifications are intended to be within the meaning and range of equivalents of the disclosed embodiments, based on the teaching and guidance presented herein. It is to be understood that the phraseology or terminology herein is for the purpose of description by way of example and not of limitation, such that the terminology or phraseology of the present specification is to be interpreted by the skilled artisan in light of the teachings and guidance. The breadth and scope of the present invention should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents.

42页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:一种电路板生产用带有烘烤机构的显影机

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类