Mask blank, transfer mask, and method for manufacturing semiconductor device

文档序号:1643109 发布日期:2019-12-20 浏览:21次 中文

阅读说明:本技术 掩模坯料、转印用掩模及半导体器件的制造方法 (Mask blank, transfer mask, and method for manufacturing semiconductor device ) 是由 谷口和丈 宍户博明 于 2018-02-28 设计创作,主要内容包括:本发明提供一种掩模坯料(100),利用由氮化硅系材料形成的单层膜构成的遮光膜(2)具有对于ArF曝光用光的较高的遮光性能,并且能够降低遮光膜的图案的EMF偏差。掩模坯料在透光性基板(1)上具备遮光膜。遮光膜对于ArF曝光用光的光学浓度为3.0以上。遮光膜对于ArF曝光用光的折射率n及衰减系数k同时满足以下的式(1)和式(2)中限定的关系。n≦0.0733×k<Sup>2</Sup>+0.4069×k+1.0083···式(1)n≧29.316×k<Sup>2</Sup>-92.292×k+72.671···式(2)。(The invention provides a mask blank (100), which utilizes a light shielding film (2) formed by a single layer film formed by a silicon nitride material to have high light shielding performance for ArF exposure light and reduce EMF deviation of the pattern of the light shielding film. The mask blank is provided with a light-shielding film on a light-transmitting substrate (1). The light-shielding film has an optical density of 3.0 or more with respect to ArF exposure light. The refractive index n and the attenuation coefficient k of the light-shielding film for ArF exposure light satisfy the relationships defined in the following expressions (1) and (2) at the same time. n ≦ 0.0733 xk 2 +0.4069 Xk + 1.0083. cndot. formula (1) n ≧ 29.316 Xk 2 -92.292 xk + 72.671. cndot. formula (2).)

1. A mask blank having a light-shielding film on a light-transmitting substrate,

the light-shielding film is a single-layer film formed of a material composed of silicon and nitrogen, or a single-layer film formed of a material composed of silicon and nitrogen, and at least one element selected from the group consisting of semimetal elements and nonmetallic elements,

the light-shielding film has an optical density of 3.0 or more with respect to exposure light of an ArF excimer laser beam,

the refractive index n and the attenuation coefficient k of the light-shielding film with respect to the exposure light satisfy the relationship defined by the following expressions (1) and (2) at the same time,

n≦0.0733×k2+0.4069 Xk + 1.0083. formula (1)

n≧29.316×k2-92.292 xk + 72.671. cndot. formula (2).

2. The mask blank according to claim 1,

the attenuation coefficient k of the light-shielding film is 2.6 or less.

3. The mask blank according to claim 1 or 2,

the refractive index n of the light-shielding film is 0.8 or more.

4. The mask blank according to any one of claims 1 to 3,

the refractive index n and the attenuation coefficient k of the light-shielding film also satisfy a relationship defined by the following expression (3),

n≧0.7929×k2-2.1606 xk + 2.1448. cndot. formula (3).

5. The mask blank according to any one of claims 1 to 4,

the light-shielding film has a nitrogen content in a region excluding a surface layer on the side of the light-transmitting substrate and a surface layer on the side opposite to the light-transmitting substrate, the nitrogen content having a deviation of 5 atomic% or less in the thickness direction.

6. The mask blank according to any one of claims 1 to 5,

a hard mask made of a material containing chromium is provided on the light-shielding film.

7. A transfer mask having a light-shielding film with a transfer pattern on a light-transmissive substrate,

the light-shielding film is a single-layer film formed of a material composed of silicon and nitrogen, or a single-layer film formed of a material composed of silicon and nitrogen, and at least one element selected from the group consisting of semimetal elements and nonmetallic elements,

the light-shielding film has an optical density of 3.0 or more with respect to exposure light of an ArF excimer laser beam,

the refractive index n and the attenuation coefficient k of the light-shielding film with respect to the exposure light satisfy the relationship defined by the following expressions (1) and (2) at the same time,

n≦0.0733×k2+0.4069 Xk + 1.0083. formula (1)

n≧29.316×k2-92.292 xk + 72.671. cndot. formula (2).

8. The transfer mask according to claim 7,

the attenuation coefficient k of the light-shielding film is 2.6 or less.

9. The transfer mask according to claim 7 or 8,

the refractive index n of the light-shielding film is 0.8 or more.

10. The transfer mask according to any one of claims 7 to 9,

the refractive index n and the attenuation coefficient k of the light-shielding film also satisfy a relationship defined by the following expression (3),

n≧0.7929×k2-2.1606 xk + 2.1448. cndot. formula (3).

11. The transfer mask according to any one of claims 7 to 10,

the light-shielding film has a nitrogen content in a region excluding a surface layer on the side of the light-transmitting substrate and a surface layer on the side opposite to the light-transmitting substrate, the nitrogen content having a deviation of 5 atomic% or less in the thickness direction.

12. A method for manufacturing a semiconductor device, comprising the step of exposing a resist film on a semiconductor substrate to light and transferring a transfer pattern using the transfer mask according to any one of claims 7 to 11.

Technical Field

The present invention relates to a mask blank, a transfer mask manufactured using the mask blank, and a method for manufacturing a semiconductor device using the transfer mask.

Background

In general, in a manufacturing process of a semiconductor device, a fine pattern is formed by photolithography. In addition, in the formation of the fine pattern, a plurality of transfer masks are generally used. In order to miniaturize the pattern of a semiconductor device, not only the miniaturization of the mask pattern formed on a transfer mask but also the shortening of the wavelength of an exposure light source used for photolithography are required. In recent years, the use of exposure light sources for semiconductor device fabrication has been progressing from KrF excimer laser (wavelength 248nm) to ArF excimer laser (wavelength 193nm) with shorter wavelength.

One of the transfer masks is a halftone type phase shift mask. Molybdenum silicide (MoSi) based materials are widely used for phase shift films of halftone phase shift masks. However, as disclosed in patent document 1, the resistance of MoSi-based films against exposure light of ArF excimer laser light (hereinafter referred to as ArF exposure light) (so-called ArF light resistance) has recently been found to be low. In patent document 1, plasma treatment, UV irradiation treatment, or heat treatment is performed on the MoSi-based film after the pattern is formed, and a passive film is formed on the surface of the pattern of the MoSi-based film, thereby improving ArF light resistance.

On the other hand, patent document 2 discloses a phase shift mask including a phase shift film made of SiN, and patent document 3 describes that a phase shift film made of SiN has been confirmed to have high ArF light resistance.

Disclosure of Invention

Problems to be solved by the invention

The problem of ArF light resistance in the transfer mask is not limited to the phase shift mask, but occurs in the binary mask. In recent years, the miniaturization of light-shielding patterns for binary masks has been progressing. Since a light-shielding film of a chromium-based material, which has been widely used in the past, is patterned by dry etching using an etching gas having a low anisotropy (a mixed gas of a chlorine-based gas and an oxygen gas), it is difficult to meet the demand for miniaturization. Therefore, in recent years, molybdenum silicide-based materials have come to be used for light-shielding films of mask blanks for manufacturing binary masks. However, as described above, the thin film of the transition metal silicide-based material has a problem of low ArF light resistance. In order to solve this problem, as in the case of the phase shift film, applying a silicon nitride-based material to the light-shielding film of the binary mask is the simplest method. The light-shielding film of the binary mask is required to have high light-shielding performance against ArF exposure light (for example, Optical Density (OD) of 3.0 or more against ArF exposure light). In addition, the light-shielding film of the binary mask tends to have higher surface reflectance (reflectance of the surface opposite to the substrate of the light-shielding film) and higher back surface reflectance (reflectance of the surface on the substrate side of the light-shielding film) with respect to ArF exposure light than the phase shift film. In the case of a conventional light-shielding film of a chromium-based material and a transition metal silicide-based material, the light-shielding film has a laminated structure of a light-shielding layer and an anti-reflection layer, thereby reducing the surface reflectance and the back surface reflectance with respect to ArF exposure light. The silicon nitride-based material has lower light-shielding performance against ArF exposure light than the chromium-based material and the transition metal silicide-based material.

In a binary mask in recent years, if the pattern thickness of the light-shielding film is thick, there is a problem that variation (correction amount of pattern line width or the like, hereinafter referred to as EMF variation) due to an Electromagnetic Field (EMF) effect becomes large. In order to reduce the EMF variation of the light-shielding film pattern, it is effective to reduce the film thickness of the light-shielding film and to reduce the phase difference of the light-shielding film (the phase difference between the exposure light passing through the light-shielding film and the exposure light passing through the air at the same distance as the light-shielding film thickness).

When a light-shielding film is formed using a silicon nitride-based material having low light-shielding performance, the film thickness of the light-shielding film needs to be increased in order to ensure high light-shielding performance. Therefore, it is not easy to reduce the EMF variation of the light shielding film pattern, which becomes a problem.

EMF bias has a large impact on CD accuracy of the transferred pattern linewidth to resist on the wafer. Therefore, it is necessary to simulate the electromagnetic field effect and correct the transfer pattern created in the transfer mask so as to suppress the influence of the EMF deviation. The correction calculation of the transfer pattern becomes more complicated as the EMF deviation becomes larger. Further, the transfer pattern after correction becomes more complicated as the EMF deviation becomes larger, and a large burden is imposed on the fabrication of the transfer mask.

Accordingly, the present invention has been made to solve the conventional problems, and an object of the present invention is to provide a mask blank which includes a light-shielding film on a transparent substrate, wherein the light-shielding film formed of a single-layer film made of a silicon nitride-based material has high light-shielding performance against ArF exposure light, and can reduce EMF variation of the light-shielding film pattern. Another object of the present invention is to provide a transfer mask manufactured using the mask blank. It is still another object of the present invention to provide a method for manufacturing a semiconductor device using such a transfer mask.

Means for solving the problems

In order to achieve the above object, the present invention has the following aspects.

(form 1)

A mask blank having a light-shielding film on a light-transmitting substrate,

the light-shielding film is a single-layer film formed of a material composed of silicon and nitrogen, or a single-layer film formed of a material composed of silicon and nitrogen, and at least one element selected from the group consisting of semimetal elements and nonmetallic elements,

the light-shielding film has an optical density of 3.0 or more with respect to exposure light of an ArF excimer laser beam,

the refractive index n and the attenuation coefficient k of the light-shielding film with respect to the exposure light satisfy the relationship defined by the following expressions (1) and (2) at the same time,

n≦0.0733×k2+0.4069 Xk + 1.0083. formula (1)

n≧29.316×k2-92.292 xk + 72.671. cndot. formula (2).

(form 2)

The mask blank according to aspect 1, wherein the attenuation coefficient k of the light shielding film is 2.6 or less.

(form 3)

The mask blank according to aspect 1 or 2, wherein the refractive index n of the light shielding film is 0.8 or more.

(form 4)

The mask blank according to any one of embodiments 1 to 3, wherein the mask blank is a mask blank,

the refractive index n and the attenuation coefficient k of the light-shielding film also satisfy a relationship defined by the following expression (3),

n≧0.7929×k2-2.1606 xk + 2.1448. cndot. formula (3).

(form 5)

The mask blank according to any one of embodiments 1 to 4, wherein the mask blank is a mask blank,

the light-shielding film has a nitrogen content in a region excluding a surface layer on the side of the light-transmitting substrate and a surface layer on the side opposite to the light-transmitting substrate, the nitrogen content having a deviation of 5 atomic% or less in the thickness direction.

(form 6)

The mask blank according to any one of embodiments 1 to 5, wherein a hard mask made of a material containing chromium is provided on the light shielding film.

(form 7)

A transfer mask having a light-shielding film with a transfer pattern on a light-transmissive substrate,

the light-shielding film is a single-layer film formed of a material composed of silicon and nitrogen, or a single-layer film formed of a material composed of silicon and nitrogen, and at least one element selected from the group consisting of semimetal elements and nonmetallic elements,

the light-shielding film has an optical density of 3.0 or more with respect to exposure light of an ArF excimer laser beam,

the refractive index n and the attenuation coefficient k of the light-shielding film with respect to the exposure light satisfy the relationship defined by the following expressions (1) and (2) at the same time,

n≦0.0733×k2+0.4069 Xk + 1.0083. formula (1)

n≧29.316×k2-92.292 xk + 72.671. cndot. formula (2).

(form 8)

The transfer mask according to aspect 7, wherein the light-shielding film has an attenuation coefficient k of 2.6 or less.

(form 9)

The transfer mask according to aspect 7 or 8, wherein the refractive index n of the light-shielding film is 0.8 or more.

(form 10)

The transfer mask according to any one of embodiments 7 to 9, wherein the refractive index n and the attenuation coefficient k of the light-shielding film further satisfy a relationship defined by the following expression (3),

n≧0.7929×k2-2.1606 xk + 2.1448. cndot. formula (3).

(form 11)

The transfer mask according to any one of embodiments 7 to 10, wherein a deviation of a nitrogen content in a thickness direction of a region of the light-shielding film excluding the surface layer on the side of the light-transmissive substrate and the surface layer on the side opposite to the light-transmissive substrate is within 5 atomic%.

(form 12)

A method for manufacturing a semiconductor device, comprising the step of exposing a resist film on a semiconductor substrate to light and transferring a transfer pattern using the transfer mask according to any one of embodiments 7 to 11.

Effects of the invention

The mask blank of the present invention is characterized in that a light-shielding film is provided on a light-transmitting substrate, the light-shielding film is a single-layer film formed of a silicon nitride-based material, the optical density of the light-shielding film with respect to ArF exposure light is 3.0 or more, and the refractive index n and the attenuation coefficient k of the light-shielding film with respect to ArF exposure light satisfy the relationship defined by the following expressions (1) and (2) at the same time. By adopting such a light-shielding film structure, the optical density of the light-shielding film with respect to ArF exposure light is 3.0 or more, and the refractive index n and the attenuation coefficient k of the light-shielding film with respect to ArF exposure light satisfy the relationship defined by the following expressions (1) and (2) at the same time, so that the light-shielding film has high light-shielding performance with respect to ArF exposure light, and the EMF variation of the pattern of the light-shielding film can be reduced.

n≦0.0733×k2+0.4069 Xk + 1.0083. formula (1)

n≧29.316×k2-92.292 xk + 72.671. formula (2)

The transfer mask of the present invention is characterized in that the light-shielding film of the transfer pattern has the same characteristics as the light-shielding film of the mask blank of the present invention. By using such a transfer mask, the EMF deviation of the pattern of the light-shielding film can be reduced, and therefore, the transfer mask can be manufactured without a large burden.

Drawings

FIG. 1 is a graph showing the relationship between the refractive index n and the attenuation coefficient k derived from the simulation results, and the film thickness d, the phase difference φ, and the surface reflectance Rf.

Fig. 2 is a graph showing the relationship between the refractive index n and the attenuation coefficient k, and the surface reflectance Rf and the back surface reflectance Rb, which are derived from the simulation results.

Fig. 3 is a cross-sectional view showing the structure of a mask blank according to an embodiment of the present invention.

Fig. 4 is a sectional view showing a manufacturing process of a transfer mask according to an embodiment of the present invention.

Detailed Description

First, the process of achieving the completion of the present invention will be described.

In the case where the light-shielding film is formed using a silicon-based material (for example, a material made of silicon) containing no oxygen or nitrogen, which is a factor of reducing the light-shielding performance, the light-shielding film having a predetermined optical density (for example, an Optical Density (OD) for ArF exposure light of 3.0 or more) can be formed to have a thinner film thickness. However, silicon atoms not bonded to other elements tend to be easily bonded to oxygen in the air. When a mask blank having a light-shielding film made of silicon on a light-transmitting substrate is manufactured, there is a problem that oxidation progresses when the mask blank is left in the atmosphere after the manufacturing, and optical characteristics (particularly, light-shielding performance) greatly change from the first time the light-shielding film is formed.

On the other hand, in a process of manufacturing a transfer mask using a mask blank in recent years, if a black spot is detected as a result of a mask inspection of a pattern of a light-shielding film, the black spot is widely corrected by an EB defect correction technique. The EB defect correction is to correct XeF2A fluorine-based gas in an unexcited state such as a gas is supplied to the periphery of the black spot, and the black spot is removed by irradiating the black spot portion with an electron beam. In the EB defect correction, a sufficient difference in etching rate between the light-shielding film portion (black spot portion) excited by irradiation of an electron beam with a fluorine-based gas in a non-excited state and the non-excited light-shielding film portion is secured, and only the black spot portion can be removed. A silicon-based material containing no oxygen or nitrogen has low resistance to the fluorine-based gas in the non-excited state, and is easily etched (referred to as spontaneous etching) even in a state where the material is not irradiated with an electron beam (non-excited state). Therefore, in the case of performing EB defect correction for a light-shielding film made of a silicon-based material containing no oxygen or nitrogen, there is a problem that spontaneous etching of the pattern side wall of the light-shielding film other than black spots is likely to proceed.

Since there are the above two problems, it is necessary to use a material containing nitrogen or oxygen in silicon as a material for forming the light-shielding film. A material containing oxygen in silicon has a significantly reduced light-shielding performance as compared with a material containing nitrogen in silicon. In view of this, when the light-shielding film is formed using a silicon-based material, a material containing nitrogen in silicon (silicon nitride-based material) is preferably used. The light-shielding film of a silicon nitride-based material has the following characteristics: as the nitrogen content in the film increases, the attenuation coefficient k of the light-shielding film against ArF exposure light (hereinafter, simply referred to as attenuation coefficient k) decreases, and the refractive index n against ArF exposure light (hereinafter, simply referred to as refractive index n) increases. Since the optical density of the light-shielding film decreases as the attenuation coefficient k of the light-shielding film decreases, the film thickness of the light-shielding film needs to be increased in order to ensure a predetermined optical density in the light-shielding film. Further, as the refractive index n of the light-shielding film increases, the phase difference of the light-shielding film increases. The increase in refractive index n of the light-shielding film and the decrease in attenuation coefficient k both cause the EMF variation of the light-shielding film to increase. Therefore, it is necessary to control the refractive index n and the attenuation coefficient k of the light-shielding film of a silicon nitride material to predetermined ranges.

The present inventors have intensively studied the relationship between the refractive index n and the attenuation coefficient k of a light-shielding film of a mask blank for manufacturing a binary mask, which satisfies both the conditions that the light-shielding film has a predetermined optical density (OD of 3.0 or more) and the EMF deviation is sufficiently reduced. As a result, it was found that if a light-shielding film according to the technical means described later is used, a predetermined optical density for ArF exposure light can be secured, and the EMF variation of the light-shielding film can be sufficiently reduced, and thus the present invention has been completed.

To complete the present invention, first, an optical simulation of the light-shielding film is performed. In the optical simulation, exposure light is ArF excimer laser light, a light-shielding film is assumed to be a thin film having a single-layer structure made of an optically uniform substance, and a phase difference Φ, a surface reflectance Rf, and a back surface reflectance Rb in a film thickness d when an Optical Density (OD) is 3.0 are determined while changing values of a refractive index n and an attenuation coefficient k in a range of 0.8 to 2.6 and in a range of 1.0 to 2.6.

Then, based on the simulation results, the relationship between the refractive index n and the attenuation coefficient k and the phase difference Φ, the relationship between the refractive index n and the attenuation coefficient k and the film thickness d, the relationship between the refractive index n and the attenuation coefficient k and the surface reflectance Rf, and the relationship between the refractive index n and the attenuation coefficient k and the back surface reflectance Rb are arranged. Then, based on the sorted relationships, the relationships with the refractive index n and the attenuation coefficient k for the cases where the phase difference Φ is 90 degrees, 80 degrees, 0 degrees, and-20 degrees (fig. 1), the relationships with the refractive index n and the attenuation coefficient k for the cases where the film thickness d is 80nm, 70nm, and 60nm (fig. 1), the relationships with the refractive index n and the attenuation coefficient k for the cases where the surface reflectance Rf is 50%, 45%, and 40% (fig. 1, fig. 2), and the relationships with the refractive index n and the attenuation coefficient k for the cases where the back surface reflectance Rb is 50%, 45%, and 40% (fig. 2) were obtained. Fig. 1 and 2 are diagrams showing the relationship obtained in this way.

FIG. 1 is a graph showing the relationship between the refractive index n and the attenuation coefficient k derived from the simulation results, and the film thickness d, the phase difference φ, and the surface reflectance Rf. Fig. 2 is a graph showing the relationship between the refractive index n and the attenuation coefficient k, and the surface reflectance Rf and the back surface reflectance Rb, which are derived from the simulation results. Fig. 1 and 2 also show fitted curves obtained from the respective relationships. The data used to obtain the fitted curves of the following formulae (a) to (m) are shown in fig. 1 and 2. The following fitting curves slightly vary depending on the calculation method. However, the variation in the ranges of the refractive index n and the attenuation coefficient k due to the variation in the fitting equation has little influence on the phase difference, the film thickness, the surface reflectance, and the back surface reflectance of the light-shielding film, and falls within the allowable range.

As shown in fig. 1, the fitting curve when the phase difference Φ is 90 degrees is expressed by the following expression (a), the fitting curve when the phase difference Φ is 80 degrees is expressed by the following expression (b), the fitting curve when the phase difference Φ is-20 degrees is expressed by the following expression (c), and the fitting curve when the phase difference Φ is 0 degrees is expressed by the following expression (d).

n=0.0733×k2+0.4069 Xk + 1.0083. formula (a)

n=0.0966×k2+0.3660 Xk + 0.9956. formula (b)

n=0.0637×k2-0.1096 xk + 0.9585. formula (c)

n=0.0636×k2-0.0147 Xk + 0.9613. formula (d)

As shown in fig. 1, the fitting curve when the film thickness d is 80nm is expressed by the following formula (e), the fitting curve when the film thickness d is 70nm is expressed by the following formula (f), and the fitting curve when the film thickness d is 60nm is expressed by the following formula (g).

n=29.316×k2-92.292 xk + 72.671. formula (e)

n=23.107×k2-82.037 xk + 73.115. formula (f)

n=12.717×k2-54.382 xk + 58.228. formula (g)

As shown in fig. 1 and 2, the fitted curve when the surface reflectance Rf is 50% is represented by the following formula (h), the fitted curve when the surface reflectance Rf is 45% is represented by the following formula (i), and the fitted curve when the surface reflectance Rf is 40% is represented by the following formula (j). In addition, the 2-point data shown in the graph in which the refractive index n is from the vicinity of 2.4 to the vicinity of 2.6 is not used in obtaining the fitting curve of the formula (i). In addition, the 1-point data shown in the graph of the refractive index n from the vicinity of 2.4 to the vicinity of 2.6 is not used in the calculation of the fitting curve of the formula (j).

n=0.7929×k2-2.1606 xk + 2.1448. formula (h)

n=1.7917×k3-9.1446×k2+16.519 Xk-9.5626. formula (i)

n=15.539×k4-103.99×k3+260.83×k2-289.22 xk + 120.12. formula (j)

As shown in fig. 2, the fitting curve when the back surface reflectance Rb is 50% is expressed by the following formula (k), the fitting curve when the back surface reflectance Rb is 45% is expressed by the following formula (l), and the fitting curve when the back surface reflectance Rb is 40% is expressed by the following formula (m).

n=0.6198×k2-2.1796 xk + 2.6451. formula (k)

n=0.2357×k2-0.2976 xk + 0.5410. formula (l)

n=0.3457×k2-0.5539 Xk + 0.8005. formula (m)

The following formula (1) represents conditions required for the light-shielding film for ArF exposure light (OD 3.0) to have a phase difference Φ of 90 degrees or less. The following formula (2) represents conditions required for making the film thickness of the light-shielding film (OD 3.0) 80nm or less. The following formula (3) represents conditions required for the light-shielding film (OD 3.0) to have a surface reflectance of 50% or less with respect to ArF exposure light.

n≦0.0733×k2+0.4069 Xk + 1.0083. formula (1)

n≧29.316×k2-92.292 xk + 72.671. formula (2)

n≧0.7929×k2-2.1606 xk + 2.1448. formula (3)

When the relation between the formula (1) and the formula (2) is satisfied, the film thickness of the light-shielding film having an OD of 3.0 can be set to 80nm or less, and the phase difference Φ of the light-shielding film can be set to 90 degrees or less, so that the EMF variation of the light-shielding film pattern can be reduced, and the burden on the production of a transfer mask from a mask blank having the light-shielding film can be reduced. Further, when the relationship of expression (3) is satisfied, the surface reflectance of the light-shielding film having an OD of 3.0 can be set to 50% or less, and therefore, the degradation of the projected optical image at the time of transfer exposure can be easily suppressed. Further, as shown in fig. 2, when the surface reflectance is 50% or less, the back surface reflectance is also 50% or less, and therefore, when the relationship of expression (3) is satisfied, the degradation of the projected optical image at the time of transfer exposure due to the back surface reflection of the light-shielding film can be easily suppressed.

The following formula (4) represents conditions required for the light-shielding film for ArF exposure light (OD 3.0) to have a phase difference Φ of 80 degrees or less. The following formula (5) represents a condition required for the light-shielding film (OD: 3.0) for ArF exposure light to have a phase difference Φ of-20 degrees or more, and the following formula (6) represents a condition required for the light-shielding film (OD: 3.0) for ArF exposure light to have a phase difference Φ of 0 degrees or more.

n≦0.0966×k2+0.3660 Xk + 0.9956. formula (4)

n≧0.0637×k2-0.1096 xk + 0.9585. formula (5)

n≧0.0636×k2-0.0147 Xk + 0.9613. formula (6)

When the relationship of the formula (4) is satisfied, the phase difference Φ of the light-shielding film having an OD of 3.0 can be set to 80 degrees or less, and therefore, the EMF variation of the light-shielding film pattern can be further reduced, and the burden on the production of a transfer mask from a mask blank having the light-shielding film can be further reduced.

When the relation of the formula (5) is satisfied, the phase difference Φ of the light-shielding film having an OD of 3.0 can be made-20 degrees or more, and when the relation of the formula (6) is satisfied, the phase difference Φ of the light-shielding film having an OD of 3.0 can be made 0 degrees or more.

The following formula (7) represents conditions required to make the film thickness of the light-shielding film (OD of 3.0) 70nm or less, and the following formula (8) represents conditions required to make the film thickness of the light-shielding film (OD of 3.0) 60nm or less.

n≧23.107×k2-82.037 xk + 73.115. formula (7)

n≧12.717×k2-54.382 xk + 58.228. formula (8)

When the relationship of the formula (7) is satisfied, the film thickness of the light-shielding film having an OD of 3.0 can be set to 70nm or less, and thus the EMF variation of the light-shielding film pattern can be further reduced, and when the relationship of the formula (8) is satisfied, the film thickness of the light-shielding film having an OD of 3.0 can be set to 60nm or less, and thus the EMF variation of the light-shielding film pattern can be further reduced.

The following formula (9) represents conditions required for the light-shielding film to have a surface reflectance of 45% or less with respect to ArF exposure light (OD of 3.0), and the following formula (10) represents conditions required for the light-shielding film to have a surface reflectance of 40% or less with respect to ArF exposure light (OD of 3.0).

n≧1.7917×k3-9.1446×k2+16.519 Xk-9.5626. formula (9)

n≧15.539×k4-103.99×k3+260.83×k2-289.22 xk + 120.12. formula (10)

When the relationship of expression (9) is satisfied, the surface reflectance of the light-shielding film having an OD of 3.0 can be set to 45% or less, and therefore, the degradation of the projected optical image at the time of transfer exposure can be more easily suppressed, and when the relationship of expression (10) is satisfied, the surface reflectance of the light-shielding film having an OD of 3.0 can be set to 40% or less, and therefore, the degradation of the projected optical image at the time of transfer exposure can be more easily suppressed. Further, as shown in fig. 2, when the surface reflectance is 45% or less, the back surface reflectance is also 45% or less, and therefore, when the relationship of expression (9) is satisfied, the degradation of the projected optical image at the time of transfer exposure due to the back surface reflectance can be more easily suppressed, and when the surface reflectance is 40% or less, the back surface reflectance is also 40% or less, and therefore, when the relationship of expression (10) is satisfied, the degradation of the projected optical image at the time of transfer exposure due to the back surface reflectance can be more easily suppressed.

Next, embodiments of the present invention will be described.

Fig. 3 is a structural sectional view showing a mask blank 100 according to an embodiment of the present invention.

The mask blank 100 shown in fig. 3 has a structure in which a light shielding film 2 and a hard mask 3 are sequentially stacked on a transparent substrate 1.

[ [ translucent substrate ] ])

The light-transmitting substrate 1 is made of a material containing silicon and oxygen, and synthetic quartz glass, aluminosilicate glass, soda-lime glass, and low thermal expansion glass (SiO)2-TiO2Glass, etc.). Among these materials, synthetic quartz glass has high transmittance for ArF exposure light, and is particularly preferable as a material for forming the transparent substrate of the mask blank.

[ [ light-shielding film ] ]

The light-shielding film 2 is a single-layer film formed of a silicon nitride-based material. The silicon nitride-based material of the present invention is a material composed of silicon and nitrogen, or a material composed of silicon and nitrogen, and at least one element selected from the group consisting of semimetal elements and nonmetallic elements. Further, by using a single layer film, the number of manufacturing steps is reduced, the production efficiency is improved, and the manufacturing quality control including defects is facilitated. Further, since the light-shielding film 2 is formed of a silicon nitride-based material, ArF light resistance is high.

The light-shielding film 2 may contain any semimetal element other than silicon. Among the semimetallic elements, the semimetallic elements containing one or more elements selected from boron, germanium, antimony, and tellurium are preferable because the conductivity of silicon used as a sputtering target can be expected to be improved.

In addition to nitrogen, the light-shielding film 2 may contain any non-metallic element. The non-metal elements of the present invention include non-metal elements (nitrogen, carbon, oxygen, phosphorus, sulfur, selenium, hydrogen), halogen elements (fluorine, chlorine, bromine, iodine, etc.), and rare gas elements in a narrow sense. Among the nonmetallic elements, one or more elements selected from carbon, fluorine, and hydrogen are preferably contained. The light-shielding film 2 preferably has an oxygen content of 5 atomic% or less, more preferably 3 atomic% or less, and still more preferably does not significantly contain oxygen (lower limit of detection in a composition analysis by X-ray photoelectron spectroscopy or the like), in addition to a surface layer on the side of the light-transmissive substrate 1 and a surface layer on the opposite side of the light-transmissive substrate 1, which will be described later. When oxygen is contained in the silicon nitride material, the attenuation coefficient k decreases, and it is difficult to obtain sufficient light-shielding performance. The transparent substrate 1 is generally formed of a material containing silicon oxide as a main component, such as synthetic quartz glass. In the case where the light-shielding film 2 is disposed in contact with the surface of the transparent substrate 1, if the light-shielding film 2 contains oxygen, the difference between the composition of the silicon nitride-based material film containing oxygen and the composition of the transparent substrate is small, and in dry etching of a fluorine-based gas performed when the light-shielding film 2 is patterned, it may be difficult to obtain etching selectivity between the light-shielding film 2 in contact with the transparent substrate 1 and the transparent substrate 1. In addition, if the oxygen content of the light-shielding film 2 is large, the correction rate at the time of EB defect correction is greatly reduced.

The rare gas is an element which can increase the film formation rate and improve the production efficiency when the light-shielding film 2 is formed by reactive sputtering and is present in the film formation chamber. The rare gas is plasmatized and collides with the target, whereby the target constituent elements fly out from the target, and the reactive gas is trapped in the middle to form the light-shielding film 2 on the transparent substrate 1. During the time when the target constituent elements fly out of the target and adhere to the transparent substrate 1, a very small amount of rare gas is introduced into the film forming chamber. Examples of a gas preferably used as a rare gas required for the reactive sputtering include argon, krypton, and xenon. In order to relax the stress of the light shielding film 2, helium or neon having a small atomic weight may be actively introduced into the light shielding film 2.

The light-shielding film 2 is preferably formed using a material composed of silicon and nitrogen. As described above, the rare gas is introduced in a very small amount when the light-shielding film 2 is formed by reactive sputtering. However, the rare gas is an element which is not easily detected even when the thin film is subjected to composition analysis such as Rutherford Back-Scattering Spectrometry (RBS) or X-ray photoelectron Spectroscopy (XPS). Therefore, the above-described material composed of silicon and nitrogen can be regarded as including a material containing a rare gas as well.

In the light-shielding film 2, the variation in nitrogen content in the thickness direction of a region (hereinafter referred to as a volume region) other than the surface layer on the side of the transparent substrate 1 and the surface layer on the side opposite to the transparent substrate 1 is preferably within 5 atomic%, more preferably within 3 atomic%. If the deviation is within 5 atomic%, the composition is considered to be uniform. On the other hand, when the RBS or XPS composition analysis is performed on the light-shielding film 2, the analysis result of the surface layer on the transparent substrate 1 side is affected by the transparent substrate 1, and thus it is difficult to have the same composition as the volume region. Further, since the surface layer on the side opposite to the translucent substrate 1 is naturally oxidized, it is difficult to have the same composition as the volume region. Further, if oxygen is actively added to the surface layer on the side opposite to the transparent substrate 1, it is possible to suppress the change in the characteristics of the light-shielding film 2, such as the change in the surface reflectance with respect to ArF exposure light due to mask cleaning or storage in the atmosphere. As a method of actively containing oxygen in the surface layer on the side opposite to the light-transmissive substrate 1, the following method can be mentioned: after the light-shielding film 2 is formed by sputtering, post-treatments such as a heating treatment in an atmosphere containing oxygen, a light irradiation treatment by a flash lamp or the like in an atmosphere containing oxygen, and a treatment of bringing ozone or oxygen plasma into contact with the surface of the light-shielding film are added. The surface layer of the light-shielding film 2 on the side of the transparent substrate 1 is a region extending from the interface between the light-shielding film 2 and the transparent substrate 1 to a depth of 5nm toward the surface layer on the opposite side. The surface layer of the light-shielding film 2 on the side opposite to the transparent substrate 1 means a region ranging from the surface of the light-shielding film 2 on the side opposite to the transparent substrate 1 to a depth of 5nm toward the transparent substrate 1.

The nitrogen content of the light-shielding film 2 is preferably 50 atomic% or less, more preferably 45 atomic% or less. When the nitrogen content exceeds 50 atomic%, the attenuation coefficient k for ArF exposure light becomes small, and it becomes difficult to obtain sufficient light-shielding performance. The nitrogen content of the light-shielding film 2 is preferably 25 atomic% or more, and more preferably 30 atomic% or more. When the nitrogen content is less than 25 atomic%, the cleaning resistance tends to be insufficient, oxidation tends to occur, and the stability of the film with time tends to be impaired. In addition, when EB defect correction is performed for the light-shielding film 2, spontaneous etching is likely to occur.

The silicon content of the light-shielding film 2 is preferably 50 atomic% or more, and more preferably 55 atomic% or more. When the silicon content is less than 50 atomic%, the attenuation coefficient k with respect to ArF exposure light becomes small, and it becomes difficult to obtain sufficient light shielding performance. The silicon content of the light-shielding film 2 is preferably 75 atomic% or less, and more preferably 70 atomic% or less. When the nitrogen content exceeds 75 atomic%, the cleaning resistance tends to be insufficient, oxidation tends to occur, and the stability of the film with time tends to be impaired.

The thickness of the light-shielding film 2 is 80nm or less, preferably 70nm or less, and more preferably 60nm or less. When the thickness is 80nm or less, a fine light-shielding film pattern is easily formed, and the variation in EMF of the light-shielding film pattern is reduced, thereby reducing the burden on the production of a transfer mask from a mask blank having the light-shielding film. The thickness of the light-shielding film 2 is preferably 40nm or more, and more preferably 45nm or more. When the thickness is less than 40nm, it is difficult to obtain sufficient light-shielding performance for ArF exposure light.

The light-shielding film 2 preferably has an optical density of 3.0 or more with respect to ArF exposure light. When the optical density is 3.0 or more, sufficient light shielding performance can be obtained. Therefore, when exposure is performed using a transfer mask produced using the mask blank, a sufficient contrast of a projected optical image (transfer image) thereof can be easily obtained. The optical density of the light-shielding film 2 with respect to ArF exposure light is preferably 4.0 or less, and more preferably 3.5 or less. When the optical density exceeds 4.0, the film thickness of the light-shielding film 2 becomes thick, and it becomes difficult to form a fine light-shielding film pattern.

The light-shielding film 2 preferably has a surface reflectance (reflectance of the surface opposite to the transparent substrate 1) with respect to ArF exposure light of 50% or less, more preferably 45% or less, and still more preferably 40% or less. When the surface reflectance exceeds 50%, reflection of ArF exposure light is too large, and the projected optical image at the time of transfer exposure is easily deteriorated. The light-shielding film 2 preferably has a surface reflectance of 20% or more with respect to ArF exposure light. When the surface reflectance is less than 20%, pattern inspection sensitivity at the time of mask pattern inspection using light of a wavelength of 193nm or a wavelength near thereto is lowered.

The light-shielding film 2 preferably has a back surface reflectance (reflectance of the surface on the translucent substrate 1 side) with respect to ArF exposure light of 50% or less, more preferably 45% or less, and still more preferably 40% or less. When the back surface reflectance exceeds 50%, the reflection of the exposure light becomes too large, and the projected optical image at the time of transfer exposure is easily deteriorated.

The phase difference of the light-shielding film 2 with respect to ArF exposure light is 90 degrees or less, preferably 80 degrees or less. When the phase difference is 90 degrees or less, the EMF variation of the pattern of the light-shielding film 2 is reduced, and the burden on the production of a transfer mask from a mask blank having the light-shielding film is also reduced. The light-shielding film 2 preferably has a phase difference of-20 degrees or more, more preferably 0 degree or more, with respect to ArF exposure light.

The refractive index n and the attenuation coefficient k of the light-shielding film 2 for ArF exposure light satisfy the relationships defined in the following expressions (1) and (2). When the relationship of the formula (1) is satisfied, the phase difference of the light-shielding film 2 with respect to ArF exposure light can be 90 degrees or less, and when the relationship of the formula (2) is satisfied, the thickness of the light-shielding film can be 80nm or less. Therefore, when the relationship between the formula (1) and the formula (2) is satisfied, the EMF deviation of the pattern of the light shielding film 2 is reduced, and the burden on the production of a transfer mask from a mask blank having the light shielding film is also reduced. The refractive index n and the attenuation coefficient k for ArF exposure light preferably satisfy the relationship defined in the following expression (3). When the relationship of the formula (3) is satisfied, the surface reflectance of the light-shielding film 2 can be 50% or less, and the back surface reflectance of the light-shielding film 2 can also be 50% or less as described above. Therefore, when the relationship of the formula (3) is satisfied, the degradation of the projected optical image at the time of transfer exposure can be easily suppressed.

n≦0.0733×k2+0.4069 Xk + 1.0083. formula (1)

n≧29.316×k2-92.292 xk + 72.671. formula (2)

n≧0.7929×k2-2.1606 xk + 2.1448. formula (3)

The refractive index n and the attenuation coefficient k of the light-shielding film 2 for ArF exposure light preferably satisfy the following equation (4). When the relationship of the formula (4) is satisfied, the phase difference of the light-shielding film 2 with respect to ArF exposure light can be made 80 degrees or less, the EMF variation of the pattern of the light-shielding film 2 can be further reduced, and the burden on the production of a transfer mask from a mask blank having the light-shielding film can be further reduced. The refractive index n and the attenuation coefficient k for ArF exposure light preferably satisfy the following expression (5), and more preferably satisfy the following expression (6). When the relationship of the expression (5) is satisfied, the phase difference of the light-shielding film 2 with respect to ArF exposure light can be made to be-20 degrees or more, and when the relationship of the expression (6) is satisfied, the phase difference of the light-shielding film 2 with respect to ArF exposure light can be made to be 0 degrees or more.

n≦0.0966×k2+0.3660 Xk + 0.9956. formula (4)

n≧0.0637×k2-0.1096 xk + 0.9585. formula (5)

n≧0.0636×k2-0.0147 Xk + 0.9613. formula (6)

The refractive index n and the attenuation coefficient k of the light-shielding film 2 for ArF exposure light preferably satisfy the following expression (7), and more preferably satisfy the following expression (8). When the relationship of the formula (7) is satisfied, the thickness of the light-shielding film can be reduced to 70nm or less, the EMF variation of the pattern of the light-shielding film 2 can be further reduced, and the burden on the production of a transfer mask from a mask blank having the light-shielding film can be further reduced. When the relationship of the following expression (8) is satisfied, the thickness of the light-shielding film can be reduced to 60nm or less, the EMF variation of the pattern of the light-shielding film 2 can be further reduced, and the burden on the production of a transfer mask from a mask blank having the light-shielding film can be further reduced.

n≧23.107×k2-82.037 xk + 73.115. formula (7)

n≧12.717×k2-54.382 xk + 58.228. formula (8)

The refractive index n and the attenuation coefficient k of the light-shielding film 2 for ArF exposure light preferably satisfy the following expression (9), and more preferably satisfy the following expression (10). When the relationship of expression (9) is satisfied, the surface reflectance of the light-shielding film 2 can be 45% or less, and the back surface reflectance of the light-shielding film 2 can also be 45% or less as described above, and degradation of the projected optical image at the time of transfer exposure can be more easily suppressed. When the relationship of expression (10) is satisfied, the surface reflectance of the light-shielding film 2 can be 40% or less, and the back surface reflectance of the light-shielding film 2 can also be 40% or less as described above, and degradation of the projected optical image at the time of transfer exposure can be further easily suppressed.

n≧1.7917×k3-9.1446×k2+16.519 Xk-9.5626. formula (9)

n≧15.539×k4-103.99×k3+260.83×k2-289.22 xk + 120.12. formula (10)

The refractive index n of the light-shielding film 2 with respect to ArF exposure light is preferably 0.8 or more, more preferably 0.9 or more, and still more preferably 1.0 or more. In order to make the refractive index n lower than 0.8, the nitrogen content of the light-shielding film 2 needs to be greatly reduced. Therefore, if the refractive index is less than 0.8, spontaneous etching will easily occur when EB defect correction is performed.

The attenuation coefficient k of the light-shielding film 2 with respect to ArF exposure light is preferably 2.6 or less, more preferably 2.5 or less, and still more preferably 2.4 or less. In order to make the attenuation coefficient k exceed 2.6, the nitrogen content of the light-shielding film 2 needs to be greatly reduced. Therefore, if the attenuation coefficient k exceeds 2.6, spontaneous etching tends to occur when EB defect correction is performed.

Further, oxidation progresses in the surface layer of the light-shielding film 2 on the side opposite to the transparent substrate 1. Therefore, the composition of the surface layer of the light-shielding film 2 is different from the composition of the other regions of the light-shielding film 2, and the optical characteristics are also different. However, in the present specification, the light-shielding film 2 is regarded as a single-layer film having uniform optical properties in the film thickness direction. Therefore, in the present specification, the refractive index n and the attenuation coefficient k of the light-shielding film 2 refer to the refractive index n and the attenuation coefficient k of the entire light-shielding film 2 including the surface layer thereof.

The refractive index n and the attenuation coefficient k of the film are not determined only by the composition of the film. The film density, the crystal state, and the like of the thin film are also factors for controlling the refractive index n and the attenuation coefficient k. Therefore, the light-shielding film 2 is formed by adjusting the conditions for forming the light-shielding film 2 by reactive sputtering so that the light-shielding film 2 has a desired refractive index n and attenuation coefficient k, and the optical density, surface reflectance, back surface reflectance, and phase difference with respect to ArF exposure light converge to predetermined values. In order to set the light shielding film 2 to the above-described ranges of the refractive index n and the attenuation coefficient k, when forming a film by reactive sputtering, it is not limited to adjusting only the ratio of the mixed gas of the rare gas and the reactive gas. The present invention also relates to various aspects such as a pressure in a film forming chamber, a power applied to a target, and a positional relationship such as a distance between the target and a transparent substrate when forming a film by reactive sputtering. These film formation conditions are conditions inherent in the film formation apparatus, and are appropriately adjusted so that the formed light-shielding film 2 has a desired refractive index n and attenuation coefficient k.

The light shielding film 2 is formed by sputtering. Any sputtering such as DC sputtering, RF sputtering, and ion beam sputtering can be used. When a target having low conductivity (a silicon target, a target containing no semimetal element or a silicon compound having a small content, or the like) is used, RF sputtering or ion beam sputtering is preferably used, but in view of the film formation rate, RF sputtering is more preferably used.

The light-shielding film 2 is formed by reactive sputtering in a sputtering gas containing a nitrogen-based gas and a rare gas, using a silicon target or a target composed of a material in which silicon contains one or more elements selected from semimetal elements and nonmetallic elements.

As the nitrogen-based gas used as the sputtering gas in forming the light-shielding film 2, any gas can be used as long as it contains nitrogen. As described above, the light-shielding film 2 preferably suppresses the oxygen content to a low level (except for the surface layer thereof), and therefore, a nitrogen-based gas containing no oxygen is preferably used, and nitrogen (N) is more preferably used2Gas). In addition, the kind of rare gas used as the sputtering gas when forming the light-shielding film 2 is not limited, and argon, krypton, and xenon are preferably used. In addition, helium or neon having a small atomic weight can be actively introduced into the light-shielding film 2 in order to relax the stress of the light-shielding film 2.

[ [ hard mask ] ]

In the mask blank 100 including the light shielding film 2, the hard mask 3 formed of a material having etching selectivity with respect to an etching gas used for etching the light shielding film 2 is preferably further stacked on the light shielding film 2. Since it is necessary to secure a predetermined optical density, there is a limit to reduce the thickness of the light-shielding film 2. The hard mask 3 is sufficient as long as it has a film thickness that can function as an etching mask until the dry etching for patterning the light-shielding film 2 just below the hard mask 3 is completed, and is not limited to optical characteristics in principle. Therefore, the thickness of the hard mask 3 can be made significantly smaller than the thickness of the light shielding film 2. Further, the organic material resist film has a sufficient film thickness as long as it can function as an etching mask during the period from the end of dry etching for forming a pattern on the hard mask 3, and therefore, the thickness of the resist film can be made thinner than in the conventional art. Therefore, problems such as resist pattern collapse can be suppressed.

The hard mask 3 is preferably formed of a material containing chromium (Cr). Materials containing chromium for use with SF6Dry etching with an isofluorine-based gas has particularly high dry etching resistance. The thin film made of a material containing chromium is usually patterned by dry etching using a mixed gas of a chlorine-based gas and oxygen. However, since the anisotropy of the dry etching is not so high, etching in the direction of the sidewall of the pattern (lateral etching) is likely to occur in the dry etching when patterning a thin film made of a material containing chromium.

When a material containing chromium is used for the light-shielding film, the problem of lateral etching occurs when the light-shielding film 2 is dry-etched because the film thickness of the light-shielding film 2 is relatively thick, but when a material containing chromium is used for the hard mask 3, the problem caused by lateral etching is less likely to occur because the film thickness of the hard mask 3 is relatively thin.

Examples of the material containing chromium include, in addition to chromium metal, a material in which chromium contains one or more elements selected from oxygen, nitrogen, carbon, boron, and fluorine, and examples thereof include CrN, CrC, CrON, CrCO, and CrCON. When these elements are added to chromium metal, the film is preferably one in which an amorphous structure is easily formed and the surface roughness of the film and the line edge roughness when the light-shielding film 2 is dry-etched can be suppressed.

In addition, from the viewpoint of dry etching of the hard mask 3, it is preferable to use a material in which chromium contains one or more elements selected from oxygen, nitrogen, carbon, boron, and fluorine as a material for forming the hard mask 3.

The chromium-based material is etched by a mixed gas of a chlorine-based gas and oxygen, but the etching rate of chromium metal with respect to the etching gas is not so high. By adding one or more elements selected from oxygen, nitrogen, carbon, boron, and fluorine to chromium, the etching rate can be increased when a mixed gas of a chlorine-based gas and an oxygen gas is used as an etching gas.

The hard mask 3 made of CrCO is particularly preferable because it does not contain nitrogen which makes lateral etching easily larger in dry etching with a mixed gas of a chlorine-based gas and an oxygen gas, contains carbon which can suppress lateral etching, and contains oxygen which increases the etching rate. The material containing chromium for forming the hard mask 3 may contain one or more elements selected from indium, molybdenum, and tin. The etching rate of the mixed gas of the chlorine-based gas and the oxygen gas can be further increased by containing one or more elements selected from indium, molybdenum, and tin.

As a material for forming the hard mask 3 other than the chromium-containing material, a material containing a metal such as tantalum (Ta) or tungsten (W) may be used. For example, in this case, the material containing tantalum includes, in addition to tantalum metal, a material in which tantalum contains one or more elements selected from nitrogen, boron, and carbon. Specific examples thereof include: ta, TaN, TaO, TaON, TaBN, TaBO, TaBON, TaCN, TaCO, TaCON, TaBCN, TaBOCN, and the like.

In the mask blank 100, the resist film of the organic material is preferably formed to have a film thickness of 100nm or less so as to be in contact with the surface of the hard mask 3. In the case of a fine pattern corresponding to the DRAM hp32nm generation, SRAF (Sub-Resolution Assist Feature) having a line width of 40nm may be provided in a transfer pattern to be formed on the hard mask 3. However, in this case, the aspect ratio of the cross section of the resist pattern can be as low as 1:2.5, and therefore, the resist pattern can be prevented from falling or falling off during development, cleaning, or the like of the resist film. Further, the resist film is more preferably 80nm or less in thickness.

The hard mask 3 may not be provided in the mask blank 100, and a resist film may be directly formed in contact with the light shielding film 2. In this case, the structure is simple, and dry etching of the hard mask 3 is not required in the production of the transfer mask, so that the number of production steps can be reduced. In this case, it is preferable to form a resist film after performing surface treatment such as hmds (hexamethyldisilazane) on the light-shielding film 2.

As described below, the mask blank of the present invention is suitable for a binary mask, but is not limited to a binary mask, and may be used as a mask blank for a levenson (レ ベ ン ソ ン) type phase shift mask or a mask blank for a cpl (chromeless phase lithography) mask.

[ mask for transfer ]

Fig. 4 is a schematic cross-sectional view showing a process of manufacturing a transfer mask (binary mask) 200 from the mask blank 100 according to the embodiment of the present invention.

The method of manufacturing the transfer mask 200 shown in fig. 4 uses the mask blank 100 described above, and includes: a step of forming a transfer pattern on the hard mask 3 by dry etching; a step of forming a transfer pattern on the light-shielding film 2 by dry etching using the hard mask 3 (hard mask pattern 3a) having the transfer pattern as a mask; and removing the hard mask pattern 3 a.

An example of a method for manufacturing the transfer mask 200 will be described below based on the manufacturing process shown in fig. 4. In this example, a material containing silicon and nitrogen is used for the light-shielding film 2, and a material containing chromium is used for the hard mask 3.

First, a mask blank 100 is prepared (see fig. 4 a), and a resist film is formed by spin coating so as to contact the hard mask 3. Next, a transfer pattern to be formed on the light-shielding film 2 is exposed and drawn to the resist film, and a predetermined process such as a development process is further performed to form a resist pattern 4a (see fig. 4 (b)).

Next, dry etching using a chlorine-based gas such as a mixed gas of chlorine and oxygen is performed using the resist pattern 4a as a mask, thereby forming a pattern (hard mask pattern 3a) on the hard mask 3 (see fig. 4 c). The chlorine-based gas is not particularly limited as long as it contains Cl, and examples thereof include Cl2、SiCl2、CHCl3、CH2Cl2、BCl3And the like. In the case of using a mixed gas of chlorine and oxygen, for exampleFor example, the gas flow rate ratio may be Cl2:O2=4:1。

Next, the resist pattern 4a is removed by ashing or a resist stripping solution (see fig. 4 d).

Next, dry etching using a fluorine-based gas is performed using the hard mask pattern 3a as a mask, thereby forming a pattern (light-shielding film pattern 2a) on the light-shielding film 2 (see fig. 4 e). The fluorine-containing gas may be any gas containing F, but is preferably SF6. Except for SF6Besides, CHF can be mentioned3、CF4、C2F6、C4F8However, the etching rate of the fluorine-based gas containing C with respect to the transparent substrate 1 as a glass material is high. SF6Damage to the transparent substrate 1 is small, which is preferable. Furthermore, SF may be used6He and the like are further added.

Then, the hard mask pattern 3a is removed by using a chrome etching solution, and a transfer mask 200 is obtained through a predetermined process such as cleaning (see fig. 4 (f)). Here, the hard mask pattern 3a may be removed by dry etching using a mixed gas of chlorine and oxygen. Here, the chromium etching solution may be a mixture containing cerium ammonium nitrate and perchloric acid.

The transfer mask 200 manufactured by the manufacturing method shown in fig. 4 is a binary mask including a light-shielding film 2 (light-shielding film pattern 2a) having a transfer pattern on a light-transmissive substrate 1. The light-shielding film 2 is a single-layer film formed of a material containing silicon and nitrogen, and is characterized in that the optical density of the light-shielding film 2 with respect to ArF exposure light is 3.0 or more, and the refractive index n and the attenuation coefficient k of the light-shielding film 2 with respect to the ArF exposure light satisfy the relationship defined by the following expressions (1) and (2).

n≦0.0733×k2+0.4069 Xk + 1.0083. formula (1)

n≧29.316×k2-92.292 xk + 72.671. formula (2)

The matters related to the light-transmitting substrate 1 and the light-shielding film 2 in the transfer mask 200 have the same technical features as the matters related to the light-transmitting substrate 1 and the light-shielding film 2 of the mask blank 100.

The light-shielding film pattern 2a of the transfer mask 200 has an optical density of 3.0 or more, and the refractive index n and the attenuation coefficient k of the light-shielding film with respect to the pattern 2a of ArF exposure light satisfy the relationship defined in the expressions (1) and (2) at the same time. Therefore, the light-shielding film pattern 2a has high light-shielding performance against ArF exposure light. Further, since the EMF variation of the pattern of the light-shielding film 2 can be reduced, the transfer mask 200 can be manufactured without applying a large burden.

Note that, although the transfer mask 200 is described as a binary mask, the transfer mask of the present invention is not limited to the binary mask, and can be applied to a levenson type phase shift mask and a CPL mask. That is, in the case of a levenson-type phase shift mask, the light-shielding film of the present invention can be used for the light-shielding film. In the case of a CPL mask, the light-shielding film of the present invention can be used mainly for a region including the light-shielding band on the outer periphery.

In addition, the method for manufacturing a semiconductor device according to the present invention is characterized in that the transfer mask 200 or the transfer mask 200 manufactured from the mask blank 100 is used to expose a resist film on a semiconductor substrate with a transfer pattern.

The transfer mask 200 and the mask blank 100 according to the present invention have the above-described effects, and therefore, when the transfer mask 200 is placed on the mask stage of the exposure apparatus using ArF excimer laser light as exposure light and the transfer pattern is exposed and transferred to the resist film on the semiconductor device, the transfer pattern can be transferred to the resist film on the semiconductor device with high CD accuracy. Therefore, when the circuit pattern is formed by dry etching the lower film using the pattern of the resist film as a mask, a high-precision circuit pattern free from short-circuiting and disconnection of the wiring due to insufficient precision can be formed.

23页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:量测参数确定和量测选配方案选择

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类