vertical gallium nitride schottky diode

文档序号:1722325 发布日期:2019-12-17 浏览:10次 中文

阅读说明:本技术 垂直型氮化镓肖特基二极管 (vertical gallium nitride schottky diode ) 是由 弗拉基米尔·奥德诺博柳多夫 奥兹古·阿克塔斯 于 2018-03-27 设计创作,主要内容包括:一种垂直型肖特基二极管,包括:欧姆接触;第一外延N型氮化镓层,其物理接触所述欧姆接触并且具有第一掺杂浓度,以及第二外延N型氮化镓层,其物理接触所述第一外延N型氮化镓层并且具有比所述第一掺杂浓度低的第二掺杂浓度。该垂直型肖特基二极管还包括:第一边缘终端区域和第二边缘终端区域,其耦合至所述第二外延N型氮化镓层并且由所述第二外延N型氮化镓层的一部分将彼此分离;以及肖特基接触,其耦合至所述第二外延N型氮化镓层的一部分并且耦合至所述第一边缘终端区域和所述第二边缘终端区域。(A vertical schottky diode comprising: ohmic contact; a first epitaxial N-type gallium nitride layer in physical contact with the ohmic contact and having a first doping concentration, and a second epitaxial N-type gallium nitride layer in physical contact with the first epitaxial N-type gallium nitride layer and having a second doping concentration lower than the first doping concentration. The vertical schottky diode further includes: a first edge termination region and a second edge termination region coupled to the second epitaxial N-type gallium nitride layer and separated from each other by a portion of the second epitaxial N-type gallium nitride layer; and a schottky contact coupled to a portion of the second epitaxial N-type gallium nitride layer and to the first and second edge termination regions.)

1. A vertical schottky diode comprising:

Ohmic contact;

A first epitaxial N-type gallium nitride layer in physical contact with the ohmic contact and having a first doping concentration;

A second epitaxial N-type gallium nitride layer in physical contact with the first epitaxial N-type gallium nitride layer and having a second doping concentration lower than the first doping concentration;

A first edge termination region and a second edge termination region coupled to the second epitaxial N-type gallium nitride layer and separated from each other by a portion of the second epitaxial N-type gallium nitride layer; and

A Schottky contact coupled to a portion of the second epitaxial N-type gallium nitride layer and to the first and second edge termination regions.

2. The vertical schottky diode of claim 1 further comprising: a metal clip attached to the ohmic contact by a bond.

3. The vertical schottky diode of claim 1 wherein the second epitaxial N-type gallium nitride layer has a thickness of about 10 μ ι η to about 25 μ ι η.

4. The vertical schottky diode of claim 1 wherein the second epitaxial N-type gallium nitride layer comprises an epitaxial interfacial layer at an interface with the first epitaxial N-type gallium nitride layer.

5. The vertical schottky diode of claim 1 wherein the first doping concentration ranges from about 1 x 1018cm-3To about 5X 1018cm-3

6. The vertical schottky diode of claim 5 wherein the second doping concentration is in the range of about 2 x 1015cm-3to about 1X 1016cm-3

7. The vertical schottky diode of claim 1 wherein each of the first and second edge termination regions comprises gallium nitride doped with magnesium (Mg).

8. The vertical schottky diode of claim 1 further comprising a plurality of Junction Barrier Schottky (JBS) grid regions in a portion of the second epitaxial N-type gallium nitride layer between the first and second edge termination regions, the plurality of JBS grid regions coupled to the schottky contact.

9. The vertical schottky diode of claim 8 wherein each of the plurality of JBS grid regions comprises gallium nitride doped with magnesium (Mg).

10. The vertical schottky diode of claim 1 wherein the first edge termination isEach of the region and the second edge termination region comprises a single region having a range from about 2 x 1015cm-3To about 5X 1016cm-3And (3) a third doping concentration.

11. The vertical schottky diode of claim 1 wherein each of the first and second edge termination regions comprises a first region and a second region, the first region having a range from about 2 x 1015cm-3to about 5X 1016cm-3And said second region has a third doping concentration ranging from about 5 x 1016cm-3To about 5X 1017cm-3And (3) a fourth doping concentration.

12. A method of forming a vertical schottky diode, the method comprising:

Providing an engineered substrate comprising:

A polycrystalline ceramic core;

A barrier layer encapsulating the polycrystalline ceramic core;

A bonding layer coupled to the barrier layer; and

A substantially single crystal silicon layer coupled to the bonding layer;

Forming a first epitaxial N-type gallium nitride layer coupled to the substantially single crystal silicon layer and having a first doping concentration;

Forming a second epitaxial N-type gallium nitride layer coupled to the first epitaxial N-type gallium nitride layer and having a second doping concentration that is lower than the first doping concentration;

Forming a first edge termination region and a second edge termination region coupled to the second epitaxial N-type gallium nitride layer and separated from each other by a portion of the second epitaxial N-type gallium nitride layer;

Forming a Schottky contact coupled to the portion of the second epitaxial N-type gallium nitride layer and to the first and second edge termination regions;

Removing the engineered substrate to expose a back surface of the first epitaxial N-type gallium nitride layer; and

Forming an ohmic contact coupled to the back surface of the first epitaxial N-type gallium nitride layer.

13. The method of claim 12, further comprising: forming metal tabs attached to the ohmic contacts by a bond.

14. The method of claim 12, wherein the second epitaxial N-type gallium nitride layer has a thickness ranging from about 10 μ ι η to about 25 μ ι η.

15. the method of claim 12, wherein the forming the first and second edge termination regions comprises: ion implanting magnesium (Mg) into the first and second portions of the second epitaxial N-type gallium nitride layer.

16. the method of claim 12, further comprising:

forming a plurality of Junction Barrier Schottky (JBS) grid regions in a portion of the second epitaxial N-type gallium nitride layer between the first edge termination region and the second edge termination region, wherein the plurality of JBS grid regions are coupled to the Schottky contact.

17. The method of claim 16, wherein forming the plurality of JBS grid areas comprises: selectively region doping the portion of the second epitaxial N-type gallium nitride layer by magnesium (Mg) ion implantation.

18. A vertical schottky diode comprising:

a metal lead plate;

an ohmic contact coupled to the metal lead plate;

A first epitaxial N-type gallium nitride layer electrically contacting the ohmic contact and having a first doping concentration;

A second epitaxial N-type gallium nitride layer in physical contact with the first epitaxial N-type gallium nitride layer and having a second doping concentration lower than the first doping concentration; and

a Schottky contact coupled to a portion of the second epitaxial N-type gallium nitride layer, the Schottky contact having a stepped structure such that a cross-section of the Schottky contact is narrower in a region proximate to the second epitaxial N-type gallium nitride layer and wider in a region distal from the second epitaxial N-type gallium nitride layer.

19. the vertical schottky diode of claim 18 wherein the second epitaxial N-type gallium nitride layer has a thickness ranging from about 10 μ ι η to about 25 μ ι η.

20. The vertical schottky diode of claim 18 wherein the first doping concentration is in the range of about 1 x 1018cm-3To about 5X 1018cm-3

21. The vertical schottky diode of claim 20 wherein the second doping concentration is in the range of about 2 x 1015cm-3To about 1X 1016cm-3

Background

Gallium nitride based power devices may be epitaxially grown on a silicon substrate. The growth of such gallium nitride based power devices on silicon substrates is a heteroepitaxial growth process, since the substrate and the epitaxial layer are composed of different materials. Due to this heteroepitaxial growth process, the epitaxially grown material may exhibit various negative effects, including a reduction in uniformity and a reduction in metrics associated with the electronic/optical properties of the epitaxial layer. Accordingly, there is a need in the art for improved methods and systems related to epitaxial growth processes and substrate structures.

disclosure of Invention

According to some embodiments of the invention, a vertical schottky diode comprises: ohmic contact; a first epitaxial N-type gallium nitride layer in physical contact with the ohmic contact and having a first doping concentration, and a second epitaxial N-type gallium nitride layer in physical contact with the first epitaxial N-type gallium nitride layer and having a second doping concentration lower than the first doping concentration. The vertical schottky diode further includes: a first edge termination region and a second edge termination region coupled to the second epitaxial N-type gallium nitride layer and separated from each other by a portion of the second epitaxial N-type gallium nitride layer; and a schottky contact coupled to a portion of the second epitaxial N-type gallium nitride layer and to the first and second edge termination regions.

According to some other embodiments of the present invention, a method of forming a vertical schottky diode includes: an engineered substrate is provided. The engineered substrate may include a polycrystalline ceramic core, a barrier layer encapsulating the polycrystalline ceramic core, a bonding layer coupled to the barrier layer, and a substantially single crystal silicon layer coupled to the bonding layer. The method may further comprise: forming a first epitaxial N-type gallium nitride layer coupled to the substantially single crystal silicon layer and having a first doping concentration; and forming a second epitaxial N-type gallium nitride layer coupled to the first epitaxial N-type gallium nitride layer and having a second doping concentration that is lower than the first doping concentration. The method may further comprise: forming a first edge termination region and a second edge termination region coupled to the second epitaxial N-type gallium nitride layer and separated from each other by a portion of the second epitaxial N-type gallium nitride layer; and forming a schottky contact coupled to a portion of the second epitaxial N-type gallium nitride layer and to the first and second edge termination regions. The method may further comprise: removing the engineered substrate to expose a back surface of the first epitaxial N-type gallium nitride layer; and forming an ohmic contact coupled to the back surface of the first epitaxial N-type gallium nitride layer.

According to some other embodiments of the present invention, a vertical schottky diode includes: metal tab (metal tab); an ohmic contact coupled to the metal lead plate; a first epitaxial N-type gallium nitride layer electrically contacting the ohmic contact and having a first doping concentration; and a second epitaxial N-type gallium nitride layer in physical contact with the first epitaxial N-type gallium nitride layer and having a second doping concentration lower than the first doping concentration. The vertical schottky diode further includes: a Schottky contact coupled to a portion of the second epitaxial N-type gallium nitride layer. The schottky contact has a stepped structure such that a cross-section of the schottky contact is narrower in a region proximate to the second epitaxial N-type gallium nitride layer and wider in a region distal from the second epitaxial N-type gallium nitride layer.

Drawings

Fig. 1 is a simplified cross-sectional schematic diagram illustrating an engineered substrate structure according to some embodiments of the invention.

fig. 2 illustrates a simplified flow diagram showing a method of forming a Schottky Barrier Diode (SBD) according to some embodiments of the present invention.

Fig. 3A-3H illustrate schematic cross-sectional views showing intermediate steps of the method illustrated in fig. 2, according to some embodiments of the invention.

Fig. 4 schematically illustrates a perspective view of a schottky diode according to some embodiments of the present invention.

Fig. 5 schematically illustrates a cross-sectional view of a vertical schottky diode according to some embodiments of the present invention.

Fig. 6 schematically illustrates a cross-sectional view of a vertical Junction Barrier Schottky (JBS) diode, according to some embodiments of the invention.

Fig. 7 schematically illustrates a cross-sectional view of a vertical schottky diode according to some other embodiments of the present invention. .

Fig. 8 illustrates a graph of doping concentration dependence for schottky diodes according to some embodiments of the present invention.

fig. 9 shows a graph of doping concentration dependence for schottky diodes according to some other embodiments of the present invention.

Fig. 10 illustrates a simplified flow diagram of a method of forming a vertical schottky diode according to some embodiments of the present invention.

Fig. 11 schematically illustrates a cross-sectional view of a vertical schottky diode according to some embodiments of the present invention.

Fig. 12 is a simplified schematic diagram illustrating an engineered substrate structure according to some embodiments of the invention.

Fig. 13 is a simplified schematic diagram illustrating an engineered substrate structure according to some other embodiments of the invention.

Fig. 14 is a simplified schematic diagram illustrating an engineered substrate structure according to some other embodiments of the invention.

FIG. 15 is a simplified flow diagram illustrating a method of fabricating an engineered substrate according to some embodiments of the invention.

Detailed Description

The present invention relates generally to vertical schottky diodes. More particularly, the present invention relates to a method and system suitable for fabricating vertical schottky diodes using epitaxial growth processes. Merely by way of example, the present invention may be applied to a method and system for fabricating a vertical schottky diode on a substrate by epitaxial growth, wherein the substrate is characterized by a Coefficient of Thermal Expansion (CTE) that substantially matches the epitaxial layers forming the vertical schottky diode. The methods and techniques may be applied to various semiconductor processing operations.

Fig. 1 is a simplified schematic diagram illustrating an engineered substrate structure according to some embodiments of the invention. As shown in fig. 1, the engineered substrate structure may be suitable for use in a variety of electronic and optical applications. The engineered substrate structure includes a core 110 (e.g., AlN), and the core 110 may have a Coefficient of Thermal Expansion (CTE) that substantially matches a Coefficient of Thermal Expansion (CTE) of an epitaxial material to be grown on the engineered substrate structure (e.g., on the exfoliated silicon (111) layer 125).

For applications involving the growth of gallium nitride (GaN) -based materials, including epitaxial layers of GaN-based layers, the core 110 may be a polycrystalline ceramic material, such as polycrystalline aluminum nitride (AlN), which may include a bonding material, such as yttria. Other materials may be used in the core, including: polycrystalline gallium nitride (GaN), polycrystalline aluminum gallium nitride (AlGaN)), polycrystalline silicon carbide (SiC), polycrystalline zinc oxide (ZnO), polycrystalline gallium sesquioxide (Ga)2O3) And the like.

The thickness of the core 110 may be about 100 μm to 1500 μm, for example, 750 μm. The core 110 may be encapsulated in an adhesive layer 112, and the adhesive layer 112 may be referred to as a shell or an encapsulating shell. In one embodiment, adhesion layer 112 comprises a Tetraethylorthosilicate (TEOS) oxide layer having a thickness of aboutIn other embodiments, the thickness of the adhesion layer 112 is varied, for example, fromChange toAlthough TEOS oxide is used as adhesion layer 112 in some embodiments, other materials that provide adhesion between a later deposited layer and an underlying layer or material (e.g., a ceramic, particularly a polycrystalline ceramic) may also be used in accordance with embodiments of the present invention. For example, silicon dioxide (SiO)2) Or other silicon oxides (Si)xOy) Adhere well to ceramic materials and provide a suitable surface for subsequent deposition (e.g., deposition of conductive materials). In some embodiments, the adhesion layer 112 completely surrounds the core 110 to form a completely encapsulated core 110 and may be formed using an LPCVD process or other suitable deposition process that may be compatible with semiconductor processes (and particularly with polysilicon or composite substrates and layers). The adhesive layer 112 provides such a surface: subsequent layers are adhered to the surface to form an integral part of the engineered substrate structure.

in addition to using LPCVD processes, spin coating on glass/dielectric, furnace-based processes, etc. to form the adhesion layer of the package, other semiconductor processes including CVD (chemical vapor deposition) processes or similar deposition processes may also be used according to embodiments of the present invention. As an example, a deposition process may be used that coats a portion of the core 110, the core 110 may be flipped, and the deposition process may be repeated to coat other portions of the core 110. Thus, while LPCVD techniques are used in some embodiments to provide a fully encapsulated structure, other film formation techniques may be used depending on the particular application.

A conductive layer 114 is formed surrounding the adhesion layer 112. In one embodiment, the conductive layer 114 is polysilicon because it exhibits poor adhesion to ceramic materialsA polysilicon (polysilicon) shell formed around the first adhesion layer 112. In embodiments where conductive layer 114 is polysilicon, the thickness of the polysilicon layer may be approximately that ofToFor example, isIn some embodiments, the polysilicon layer may be formed as a shell to completely surround the adhesion layer 112 (e.g., TEOS oxide layer) to form a completely encapsulated adhesion layer 112, and the adhesion layer 112 may be formed using an LPCVD process. In other embodiments, as discussed below, the conductive material may be formed on a portion of the adhesion layer 112, for example, on the bottom half of the substrate structure. In some embodiments, the conductive material may be formed as a fully encapsulated layer and subsequently removed from one side of the substrate structure.

In one embodiment, the conductive layer 114 may be a polysilicon layer doped to provide a highly conductive material, for example, a P-type polysilicon layer doped with boron. In some embodiments, the boron is doped at 1 × 1019cm-3To 1X 1020cm-3thereby providing high conductivity. Other dopants having different dopant concentrations (e.g., dopant concentrations of 1 × 10) may be used16cm-3to 5X 1018cm-3Phosphorus, arsenic, bismuth, etc.) to provide an N-type or P-type semiconductor material suitable for use in the conductive layer 114. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.

The presence of the conductive layer 114 is useful during electrostatic chucking of the engineered substrate to a semiconductor processing tool, such as a tool having an electrostatic chuck (ESC or electronic chuck). The conductive layer is capable of rapid desorption after processing in a semiconductor processing tool. In an embodiment of the invention, the conductive layer 114 can be in electrical contact with the chuck or a capacitor coupled to the electronic chuck during future processing (including bonding). Thus, embodiments of the present invention provide a substrate structure that can be processed in the manner used for conventional silicon wafers. One of ordinary skill in the art would recognize many variations, modifications, and alternatives. In addition, the substrate structure with high thermal conductivity in combination with the electrostatic chuck may provide better deposition conditions for subsequent formation of the engineered layers and epitaxial layers and subsequent device fabrication steps. For example, it may provide a desired thermal profile that may result in less stress, more uniform deposition thickness, and better stoichiometry control through subsequent layer formation.

A second adhesive layer 116 (e.g., having a thickness of aboutTEOS oxide layer). In some embodiments, second adhesion layer 116 completely surrounds conductive layer 114 to form a fully encapsulated structure and second adhesion layer 116 may be formed using an LPCVD process, a CVD process, or any other suitable deposition process including deposition of a spin-on dielectric.

A barrier layer 118, for example, a silicon nitride layer, is formed around the second adhesion layer 116. In one embodiment, barrier layer 118 is about a thickness ofToA silicon nitride layer of (a). In some embodiments, the barrier layer 118 completely surrounds the second adhesion layer 116 to form a completely encapsulated structure, and the barrier layer 118 may be formed using an LPCVD process. In addition to a silicon nitride layer, amorphous materials including silicon carbonitride (SiCN), silicon oxynitride (SiON), aluminum nitride (AlN), silicon carbide (SiC), and the like may be used as the barrier layer 118. In some embodiments, the barrier layer 118 is composed of multiple sub-layers that are constructed to form the barrier layer 118. Thus, the term "barrier layer" is used in conjunction withIt is not intended to mean a single layer or material, but rather encompasses one or more materials layered in a composite manner. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.

In some embodiments, the barrier layer 118 (e.g., a silicon nitride layer) prevents elements (e.g., yttrium (elemental), yttrium oxide (yttria), oxygen, metallic impurities, other trace elements, etc.) present in the core (e.g., during a high temperature (e.g., 1000 ℃) epitaxial growth process) from diffusing and/or outgassing into the environment of a semiconductor processing chamber in which the engineered substrate may be present. By using the encapsulation layer described herein, ceramic materials including polycrystalline aluminum nitride designed for use in unclean chamber environments may be used in semiconductor process flows and clean chamber environments.

Typically, the ceramic material used to form the core is fired at a temperature in the range of 1800 ℃. It is expected that this process will remove a significant amount of impurities present in the ceramic material. These impurities may include yttrium (due to the use of yttrium as a sintering agent), calcium, and other elements and compounds. Subsequently, during the epitaxial growth process, which is carried out at lower temperatures in the range of 800 ℃ to 1100 ℃, it would be expected that the subsequent diffusion of these impurities would be negligible. However, contrary to conventional expectations, the inventors have determined that there is significant diffusion of elements through layers of the engineered substrate even during epitaxial growth processes at temperatures well below the firing temperature of the ceramic material. Accordingly, embodiments of the present invention integrate a barrier layer into an engineered substrate structure to prevent such unwanted diffusion.

A bonding layer 120 (e.g., a silicon oxide layer) is deposited on a portion of the barrier layer 118 (e.g., the top surface of the barrier layer 118), and the bonding layer 120 is subsequently used during bonding of a substantially single crystal layer 125 (e.g., a single crystal silicon layer such as the lift-off silicon (111) layer shown in fig. 1). In some embodiments, the bonding layer 120 may have a thickness of about 1.5 μm. In some embodiments, bonding layer 120 has a thickness of 20nm or more for bond-induced (bond-induced) hole migration. In some embodiments, the bonding layer 120 has a thickness in a range from 0.75 μm to 1.5 μm.

The substantially single crystal layer 125, such as stripped silicon (111), is suitable for use as a growth layer during an epitaxial growth process for forming epitaxial material. In some embodiments, the epitaxial material may include a GaN layer having a thickness of 2 μm to 10 μm, which may be used as one of a plurality of layers used in optoelectronic, RF, and power devices. In one embodiment, substantially single crystal layer 125 comprises a single crystal silicon layer adhered to bonding layer 120 using a layer transfer process.

Additional descriptions relating to engineered substrate structures are provided in U.S. patent application No. 15/621,335, filed on 2017 at 6/13, and U.S. patent application No. 15/621,235, filed on 2017 at 6/13, the contents of which are incorporated herein by reference for all purposes.

Fig. 2 illustrates a simplified flow diagram showing a method 200 of forming a Schottky Barrier Diode (SBD) according to some embodiments of the present invention. Fig. 3A-3H illustrate schematic cross-sectional views showing intermediate steps of method 200, according to some embodiments of the invention.

referring to fig. 2 and 3A, the method 200 includes: at 202, an Engineered Substrate (ES) 302 is provided. According to some embodiments, the engineered substrate 302 may include a polycrystalline ceramic core, a barrier layer encapsulating the polycrystalline ceramic core, a bonding layer coupled to the barrier layer, and a substantially single crystal silicon layer coupled to the bonding layer.

In some embodiments, the polycrystalline ceramic core of the engineered substrate may comprise polycrystalline aluminum gallium nitride (AlGaN), polycrystalline gallium nitride (GaN), polycrystalline aluminum nitride (AlN), polycrystalline silicon carbide (SiC), or combinations thereof. In some embodiments, the barrier layer may comprise SixOy、SixNy、SixOyNzSiCN, SiON, AlN, SiC or a combination thereof. In some embodiments, the bonding layer may include an oxide layer, such as a silicon oxide layer. In one embodiment, the single crystal silicon layer includes a silicon (111) layer, which may be adapted to act as a growth layer during an epitaxial growth process toFor the formation of epitaxial material as discussed below.

In some embodiments, as discussed above with reference to fig. 1, the engineered substrate 302 may further include: a first adhesion layer coupled to the polycrystalline ceramic core, a conductive layer coupled to the first adhesion layer, and a second adhesion layer coupled to the conductive layer, wherein the first adhesion layer, conductive layer, and second adhesion layer are disposed between the polycrystalline ceramic core and a barrier layer. In some embodiments, the first adhesion layer may include a first Tetraethylorthosilicate (TEOS) oxide layer, and the second adhesion layer may include a second TEOS oxide layer. The conductive layer may include a polysilicon layer. In some embodiments, the engineered substrate 302 further includes a nucleation layer coupled to the substantially single crystal silicon layer to facilitate formation of epitaxial device layers.

Referring to fig. 2 and 3A-3B, the method 200 further includes: at 204, a first epitaxial N-type gallium nitride (GaN) layer 312 (which may be referred to as "N") coupled to the substantially single crystal silicon layer is formed+A GaN "layer). The first epitaxial N-type GaN layer 312 has a back surface and a front surface. The back surface is coupled to an engineered substrate 302. The method 200 further comprises: at 206, a second epitaxial N-type GaN layer 314 (which may be referred to as "N") coupled to the front surface of the first epitaxial N-type gallium nitride layer 312 is formed-A GaN "layer).

The first N-type gallium nitride layer 312 may facilitate formation of ohmic contacts and may have a relatively high N-type doping concentration, e.g., about 1 x 1018cm-3The doping concentration of (c). The second N-type gallium nitride layer 314 may serve as a drift region and may have a relatively low doping concentration, e.g., about 1 x 1016cm-3The doping concentration of (c). In some embodiments, the second N-type gallium nitride layer 314 may have a thickness greater than about 20 μm. By utilizing a CTE matched engineered substrate 302, epitaxial growth of a relatively thick drift region with low dislocation density is possible. A thicker drift region may provide a lower leakage current and a higher breakdown voltage for the schottky diode, among many other advantages.

According to some embodiments, the method 200 may further comprise: a buffer layer 316 coupled to the substantially single crystal layer is formed prior to forming the first epitaxial N-type gallium nitride layer 312 and the second epitaxial N-type gallium nitride layer 314. A first epitaxial N-type gallium nitride layer 312 and a second epitaxial N-type gallium nitride layer 314 are then sequentially formed on the buffer layer 316. In some embodiments, the buffer layer 316 may include a superlattice comprising a plurality of layers. For example, the buffer layer 316 may include: an aluminum nitride layer coupled to the single crystal silicon layer, an aluminum gallium nitride layer coupled to the aluminum nitride layer, and a gallium nitride layer coupled to the aluminum gallium nitride layer. In other embodiments, buffer layer 316 may comprise a single layer of aluminum gallium nitride. As shown in fig. 3B, the entire epitaxial layer 310 including the buffer layer 316, the first epitaxial N-type gallium nitride layer 312, and the second epitaxial N-type gallium nitride layer 314 may be referred to as a gallium nitride epitaxial layer 310.

According to some embodiments, first epitaxial N-type gallium nitride layer 312, second epitaxial N-type gallium nitride layer 314, and buffer layer 316 may be formed by thin film deposition techniques such as Chemical Vapor Deposition (CVD), Hydride Vapor Phase Epitaxy (HVPE), Atomic Layer Deposition (ALD), Molecular Beam Epitaxy (MBE), or combinations thereof, including Metal Organic Chemical Vapor Deposition (MOCVD), Low Pressure Chemical Vapor Deposition (LPCVD), Plasma Enhanced Chemical Vapor Deposition (PECVD), and Atomic Layer Chemical Vapor Deposition (ALCVD).

Referring to fig. 2 and 3C, the method 200 further includes: at 208, one or more schottky contacts 320 are formed that are coupled to the second epitaxial N-type gallium nitride layer 314. Schottky contact 320 may be formed by a suitable metallization process. In some embodiments, schottky contact 320 may include a nickel platinum alloy (Ni/Pt), a nickel gold (Ni/Au) alloy, and the like. Referring to fig. 3D, after forming the schottky contact 320, the method 200 may further include: a plurality of device isolation regions 330 are formed. The device isolation region 330 may be formed by etching away a portion of the gallium nitride epitaxial layer 310 in a region between adjacent devices.

Referring to fig. 2 and 3E, the method 200 further includes: at 210, a metallization grid 340 is formed over one or more schottky contacts. In some embodiments, the metallization grid 340 may comprise copper (Cu) or other suitable metal. In some embodiments, the metal plating grid 340 may have a thickness of about 50 μm to about 100 μm.

Referring to fig. 2 and 3F, the method 200 further includes: at 212, the engineered substrate 302 is removed to expose the back surface of the first epitaxial N-type gallium nitride layer 312. The engineered substrate 302 may be removed, for example, by mechanical polishing, dry etching, wet etching, or with an etching chemistry (e.g., hydrofluoric acid (HF) or sulfuric acid (H)2SO4) A lift-off process to remove the engineered substrate 302. Because the epitaxial gallium nitride layer 310 is formed on the substantially CTE-matched engineered substrate 302, the epitaxial gallium nitride layer 310 does not warp under stress after the engineered substrate 302 is removed.

After removal of the engineered substrate 302, the device structure will be flipped over and the optional carrier substrate 304 may be temporarily bonded to the metallization grid 340 with an epoxy, as shown in fig. 3F. Referring to fig. 2 and 3G, the method 200 may include: the buffer layer 316 is removed to enable access to the first epitaxial N-type gallium nitride layer 312. Referring to fig. 2 and 3H, the method 200 further includes: at 214, one or more ohmic contacts 350 are formed on the back surface of the first epitaxial gallium nitride layer 312.

According to one embodiment, the carrier substrate 304 may be removed and the device structure may be diced to produce one or more chip-scale package (CSP) schottky diodes. Fig. 4 schematically illustrates a perspective view of a schottky diode 400 according to an embodiment of the present invention, which schottky diode 400 may be formed using method 200 described above. The schottky diode 400 may include a bond pad 352 electrically coupled to the ohmic contact 350 as a cathode electrode. In some embodiments, schottky diode 400 may have a gallium nitride drift region 314 (i.e., second N-type gallium nitride layer) that is greater than about 20 μm thick. The ability to deposit a relatively thick drift region 314 with a low dislocation density may provide, among other advantages, lower leakage current and higher breakdown voltage for schottky diode 400.

In some other embodiments, the step of forming the plurality of device isolation regions 330 may be omitted. After removing the engineered substrate 302, ohmic contacts 350 are formed on the back surface of the first epitaxial N-type gallium nitride layer. The device structure may then be diced from the backside to produce one or more schottky diodes.

It should be understood that the specific steps illustrated in fig. 2 provide a particular method 200 according to an embodiment of the present invention. Other sequences of steps may also be performed according to alternative embodiments. For example, alternative embodiments of the present invention may perform the above steps in a different order. Moreover, the individual steps illustrated in fig. 2 may include multiple sub-steps that may be performed in various sequences as appropriate to the individual step. In addition, additional steps may be added or removed depending on the particular application. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.

According to some embodiments of the present invention, the vertical schottky diode includes a field control structure at a peripheral region to reduce the magnitude of electric field concentration. Junction Termination Extension (JTE) may be laterally extended from the junction to extend the field to a larger area, which may increase breakdown voltage and reduce current leakage.

fig. 5 schematically illustrates a cross-sectional view of a vertical schottky diode 500 according to some embodiments of the present invention. The vertical schottky diode 500 may include an ohmic contact 506. For example, the ohmic contacts 506 may include titanium (Ti), aluminum (Al), nickel (Ni), gold (Au), silver (Ag), or combinations thereof. The vertical schottky diode 500 may further include a first epitaxial N-type gallium nitride layer 508 (N) in physical contact with the ohmic contact 506+A GaN layer). The first epitaxial N-type gallium nitride layer 508 may be heavily doped to facilitate formation of the ohmic contact 506. For example, the first epitaxial N-type gallium nitride layer 508 may have a thickness of about 1 × 1018cm-3The doping concentration of (c). The vertical schottky diode 500 may further include a second epitaxial N-type gallium nitride layer (N-type gallium nitride layer) coupled to the first epitaxial N-type gallium nitride layer 508-A GaN layer) 510. The second epitaxial N-type gallium nitride layer 510 may be an unintentionally doped gallium nitride layer and may act as a drift region. The second epitaxial N-type gallium nitride layer 510 may have a thickness of about 2 x 1015cm-3To about 1X 1016cm-3Of the second dopant concentration. In some embodiments, the second epitaxial N-type gallium nitride layer 510 may include an interfacial layer at the interface with the first epitaxial N-type gallium nitride layer 508. The interfacial layer may include aluminum gallium nitride, indium gallium nitride, and the like.

Although some embodiments have been discussed in terms of layers, the term "layer" should be understood that a layer may include multiple sub-layers that are built to form the layer of interest. Thus, the term "layer" is not intended to mean a single layer composed of a single material, but rather encompasses one or more materials that are layered in a composite manner to form the desired structure. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.

In some embodiments, the first epitaxial N-type gallium nitride layer 508 and the second epitaxial N-type gallium nitride layer 510 may be formed on an engineered substrate as described above and shown in fig. 1. Because the engineered substrate includes a core 110 (e.g., AlN) that has a Coefficient of Thermal Expansion (CTE) that may substantially match the coefficient of thermal expansion of the first epitaxial N-type gallium nitride layer 508 and the second epitaxial N-type gallium nitride layer 510, it may grow a relatively thick second epitaxial N-type gallium nitride layer and still maintain good crystalline quality. In some embodiments, the second epitaxial N-type gallium nitride layer 510 may have a thickness of about 10 μm to about 25 μm. The ability to deposit a relatively thick drift region by epitaxial growth on an engineered substrate may provide schottky diode 500 with lower leakage current and higher breakdown voltage, among many other advantages. The engineered substrate may then be removed to expose the back surface of the first epitaxial N-type gallium nitride layer 508 and facilitate the formation of the ohmic contacts 506.

On the other hand, since the bulk single crystal gallium nitride substrate is typically relatively thick and cannot be removed, the second epitaxial N-type gallium nitride layer 510 may still be relatively thin to have a lower thermal resistance than that of the gallium nitride-based devices formed on the bulk single crystal gallium nitride substrate. The low thermal resistance will facilitate thermal management because heat is generated when current flows longitudinally through the vertical schottky diode 500.

In some embodiments, the vertical schottky diode 500 may further include a first edge termination region 512 and a second edge termination region 514 coupled to the second epitaxial N-type gallium nitride layer 510. The first edge termination region 512 and the second edge termination region 514 may be separated from each other by a portion 510-1 of the second epitaxial N-type gallium nitride layer 510. The vertical schottky diode 500 may also include a schottky contact 516 coupled to the portion 510-1 of the second epitaxial N-type gallium nitride layer 510. The schottky contact 516 may include, for example, tantalum nitride (TaN), tungsten nitride (WN), or other metals and metal alloys. Schottky contact 516 may overlap first edge termination region 512 and second edge termination region 514.

According to some embodiments, the first and second edge termination regions 512, 514 may be formed by implanting magnesium (Mg) ions to dope the first and second portions of the second epitaxial N-type gallium nitride layer 510. Thus, the first and second edge termination regions 512, 514 may be P-type doped regions. The first and second edge termination regions 512, 514 may extend laterally from the schottky junction to the edge of the die (die) so that they may distribute the field over a larger area. Accordingly, the breakdown voltage of the vertical schottky diode 500 can be increased.

The vertical schottky diode 500 may further include: a pad metal 518 coupled to the schottky contact 516, and a bond wire 520 electrically connected to the pad metal 518. Pad metal 518 may include, for example, palladium (Pd), gold (Au), silver (Ag), or other metals, or combinations or metal alloys thereof. The vertical schottky diode 500 may further include a metal strap 502 for supporting the die by a die attach bond (solder) 504. The metal lead plate 502 may include, for example, copper (Cu), aluminum (Al), gold (Au), platinum (Pt), or other metals and metal alloys. The vertical schottky diode 500 may also be encapsulated by a molding compound 522.

Fig. 6 schematically illustrates a cross-sectional view of a vertical Junction Barrier Schottky (JBS) diode 600 according to some embodiments of the invention. The vertical-type JBS diode 600 may be similar to the vertical-type schottky diode 500 shown in fig. 5, except that the vertical-type JBS diode 600 may further include a plurality of grid regions 610 in the portion 510-1 of the second epitaxial N-type gallium nitride layer 510. A plurality of grid regions 610 are coupled to the schottky contacts 516. In some embodiments, the plurality of grid regions 610 may be formed by implanting magnesium (Mg) ions to selectively region dope the portion 510-1 of the second epitaxial N-type gallium nitride layer 510.

Fig. 7 schematically illustrates a cross-sectional view of a vertical schottky diode 700 according to some other embodiments of the present invention. The vertical schottky diode 700 may be similar to the vertical schottky diode 500 shown in fig. 5 except that the second epitaxial N-type gallium nitride layer 510 forms a mesa (mesa) protruding from the remaining portion of the second epitaxial N-type gallium nitride layer at a portion 510-1 between the first edge termination region 512 and the second edge termination region 514. The first and second edge termination regions 512, 514 may conform to the sidewalls of the mesa. The vertical schottky diode 700 may further include a filling material 710 for encapsulating the device. A fill material 710 may cover the first edge termination region 512, the second edge termination region 514, the schottky contact 516, and the pad metal 518.

According to some embodiments, each of the first edge termination region 512 and the second edge termination region 514 of a vertical-type schottky diode as shown in fig. 5-7 may include a single region having a uniform doping concentration. Fig. 8 illustrates a gray scale plot of doping concentration of a schottky diode according to some embodiments of the present invention. For example, the doping concentration of the first and second edge termination regions 512, 514 may be at about 2 × 1015cm-3To about 5X 1016cm-3In the meantime.

According to some other embodiments, the first edge termination region of a vertical schottky diode as shown in fig. 5-7512 and the second edge termination region 514 may each comprise two lateral regions having different doping concentrations. Fig. 9 shows a gray scale plot of doping concentration for a schottky diode according to some other embodiments of the present invention. Each of the first and second edge termination regions 512, 514 may include a first lateral region 512-1/514-1 and a second lateral region 512-2/514-2. The second lateral region 512-2/514-2 may have a higher doping concentration than the first lateral region 512-1/514-1, thus providing a graded JTE. For example, the first lateral region 512-1/514-1 may have a width of approximately 2X 1015cm-3To about 5X 1016cm-3The doping concentration of (c). The second transverse region 512-2/514-2 may have a width of about 5 x 1016cm-3To about 5X 1017cm-3the doping concentration of (c).

Fig. 10 shows a simplified flow diagram illustrating a method 1000 of forming a vertical schottky diode according to some embodiments of the present invention. The method 1000 may include providing an engineered substrate (1002). The engineered substrate includes a polycrystalline ceramic core, a barrier layer encapsulating the polycrystalline ceramic core, a bonding layer coupled to the barrier layer, and a substantially single crystal silicon layer coupled to the bonding layer. The method 1000 may further include: a first epitaxial N-type gallium nitride layer (1004) coupled to the substantially single crystal silicon layer is formed. The first epitaxial N-type gallium nitride layer may have a first doping concentration. The method 1000 may further include: a second epitaxial N-type gallium nitride layer is formed (1006) coupled to the first epitaxial N-type gallium nitride layer. The second epitaxial N-type gallium nitride layer may have a second doping concentration that is less than the first doping concentration.

The method 1000 may further include: a first edge termination region and a second edge termination region are formed (1008). The first and second edge termination regions are coupled to the second epitaxial N-type gallium nitride layer and are separated from each other by a portion of the second epitaxial N-type gallium nitride layer. The method 1000 may further include: forming a Schottky contact coupled to a portion of the second epitaxial N-type gallium nitride layer and to the first and second edge termination regions (1010). The method 1000 further comprises: the engineered substrate is removed to expose a back surface (1012) of the first epitaxial N-type gallium nitride layer and to form an ohmic contact (1014) coupled to the back surface of the first epitaxial N-type gallium nitride layer.

It should be understood that the specific steps illustrated in fig. 10 provide a particular method of forming a vertical schottky diode according to an embodiment of the present invention. Other sequences of steps may also be performed according to alternative embodiments. For example, alternative embodiments of the present invention may perform the above steps in a different order. Moreover, the individual steps illustrated in fig. 10 may include multiple sub-steps that may be performed in various sequences as appropriate to the individual step. In addition, additional steps may be added or removed depending on the particular application. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.

In some other embodiments, field plates may be used to control the electric field at the peripheral region of the vertical schottky diode.

Fig. 11 schematically illustrates a cross-sectional view of a vertical schottky diode 1100 according to some embodiments of the present invention. The vertical schottky diode 1100 may include: a metal lead plate 1102, an ohmic contact 1104 coupled to the metal lead plate 1102, and a first epitaxial N-type gallium nitride layer 1106 coupled to the ohmic contact 1104. The first epitaxial N-type gallium nitride layer 1106 may be heavily doped to facilitate formation of the ohmic contact 1104. For example, the first epitaxial N-type gallium nitride layer 1106 may have a thickness of about 1 × 1018cm-3The doping concentration of (c). The vertical schottky diode 1100 may further include: a second epitaxial N-type gallium nitride layer 1108 coupled to the first epitaxial N-type gallium nitride layer 1106. The second epitaxial N-type gallium nitride layer 1108 may be an unintentionally doped gallium nitride layer and may serve as a drift region. In some embodiments, the second epitaxial N-type gallium nitride layer 1108 may have a thickness of about 10 μm to about 25 μm. The second epitaxial N-type gallium nitride layer 1108 may have a thickness of about 2 x 1015cm-3To about 1X 1016cm-3Second doping concentration of. In some embodiments, the second epitaxial N-type gallium nitride layer 1108 may include an interface layer at the interface with the first epitaxial N-type gallium nitride layer 1106. The interfacial layer may include aluminum gallium nitride, indium gallium nitride, and the like.

The vertical schottky diode 1100 may further include: a schottky contact 1110 coupled to a portion of the second epitaxial N-type gallium nitride layer 1108. In some embodiments, the schottky contact 1110 may have a stepped structure such that the schottky contact 1110 has a narrower cross-section proximate to the second epitaxial N-type gallium nitride layer 1108 and a wider cross-section distal from the second epitaxial N-type gallium nitride layer 1108. The vertical schottky diode 1100 may further include: a passivation layer 1114 covering the remaining portion of the second epitaxial N-type gallium nitride layer 1108, a pad metal 1112 coupled to the schottky contact 1110, and an encapsulation layer 1116.

The vertical-type schottky diodes shown in fig. 5-7 may be fabricated by epitaxial growth on an engineered substrate (which is subsequently removed), according to some embodiments of the present application. Fig. 12 is a simplified cross-sectional schematic diagram illustrating an engineered substrate 1200 according to some embodiments of the invention. The engineered substrate 1200 shown in fig. 12 is suitable for use in a variety of electronic and optical applications. The engineered substrate 1200 includes a core 1210 having a Coefficient of Thermal Expansion (CTE) that substantially matches a coefficient of thermal expansion of an epitaxial material to be grown on the engineered substrate 1200. The epitaxial material 1230 is shown as being optional because it is not required as an integral part of the engineered substrate 1200, but will typically be grown on the engineered substrate 1200.

for applications involving the growth of gallium nitride (GaN) -based materials, including epitaxial layers of GaN-based layers, core 1210 may be a polycrystalline ceramic material, such as polycrystalline aluminum nitride (AlN), which may include a bonding material, such as yttria. Other materials may also be applied to the core 1210, including: polycrystalline gallium nitride (GaN), polycrystalline aluminum gallium nitride (AlGaN)), polycrystalline silicon carbide (SiC), polycrystalline zinc oxide (ZnO), polycrystalline gallium sesquioxide (Ga)2O3) And the like.

The thickness of the core 1210 may be about 100 aμ m to 1500 μm, for example 750 μm. The core 1210 may be encapsulated in an adhesive layer 1212, and the adhesive layer 112 may be referred to as a shell or an encapsulating shell. In one embodiment, adhesion layer 1212 comprises a Tetraethylorthosilicate (TEOS) oxide layer having a thickness of approximatelyIn other embodiments, the thickness of the adhesion layer is varied, for example fromChange toAlthough TEOS oxide is used as an adhesion layer in some embodiments, other materials that provide adhesion between a later deposited layer and an underlying layer or material (e.g., a ceramic, particularly a polycrystalline ceramic) may also be used in accordance with embodiments of the present invention. For example, silicon dioxide (SiO)2) Or other silicon oxides (Si)xOy) Adhere well to ceramic materials and provide a suitable surface for subsequent deposition (e.g., deposition of conductive materials). In some embodiments, the adhesive layer 1212 completely surrounds the core 1210 to form a completely encapsulated core. The adhesion layer 1212 may be formed using a Low Pressure Chemical Vapor Deposition (LPCVD) process. The adhesion layer 1212 is provided with a surface: subsequent layers are adhered to the surface to form an integral part of the engineered substrate 1200 structure.

In accordance with an embodiment of the present invention, other semiconductor processes including a CVD process or a similar deposition process may be used in addition to forming the first adhesion layer 1212 of the package using an LPCVD process, a furnace-based process, or the like. As an example, a deposition process may be used that coats a portion of the core 1210, the core 1210 may be flipped, and the deposition process may be repeated to coat other portions of the core. Thus, while LPCVD techniques are used in some embodiments to provide a fully encapsulated structure, other film formation techniques may be used depending on the particular application.

A conductive layer 1214 is formed around the adhesion layer 1212. In one embodiment, conductive layer 1214 is a shell of polysilicon (i.e., (polycrystalline silicon) polysilicon) formed around adhesion layer 1212, since polysilicon exhibits poor adhesion to ceramic materials. In embodiments where conductive layer 1214 is polysilicon, the polysilicon layer may be approximately as thickToFor example, isIn some embodiments, the polysilicon layer may be formed as a shell to completely surround the adhesion layer 1212 (e.g., TEOS oxide layer) to form a fully encapsulated first adhesion layer 1212, and the adhesion layer may be formed using an LPCVD process. In other embodiments, as described below, the conductive material may be formed on a portion of the adhesion layer, for example, on the bottom half of the substrate structure. In some embodiments, the conductive material may be formed as a fully encapsulated layer and subsequently removed from one side of the substrate structure.

In one embodiment, the conductive layer 1214 may be a polysilicon layer doped to provide a highly conductive material, such as a boron doped P-type polysilicon layer. In some embodiments, the boron is doped at 1 × 1019cm-3To 1X 1020cm-3Thereby providing high conductivity. Other dopants having different dopant concentrations (e.g., dopant concentrations of 1 × 10) may be used16cm-3To 5X 1018cm-3Phosphorus, arsenic, bismuth, etc.) to provide an N-type or P-type semiconductor material suitable for use in the conductive layer 1214. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.

The presence of the conductive layer 1214 is useful during electrostatic chucking of the engineered substrate 1200 to a semiconductor processing tool, such as a tool having an electrostatic chuck (ESC or electronic chuck). The conductive layer 1214 enables rapid desorption after processing in a semiconductor processing tool. Thus, embodiments of the present invention provide a substrate structure that can be processed in the manner used for conventional silicon wafers. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.

a second adhesion layer 1216 is formed around the conductive layer 1214 (e.g., to a thickness of aboutTEOS oxide layer). In some embodiments, second adhesion layer 1216 completely surrounds conductive layer 1214 to form a fully encapsulated structure. Second adhesion layer 1216 may be formed using an LPCVD process, a CVD process, or any other suitable deposition process including deposition of a spin-on dielectric.

A barrier layer 1218, e.g., a silicon nitride layer, is formed around the second adhesion layer 1216. In one embodiment, barrier layer 1218 is about a thickness oftoA silicon nitride layer of (a). In some embodiments, barrier layer 1218 completely surrounds second adhesion layer 1216 to form a fully encapsulated structure, and the barrier layer may be formed using an LPCVD process. In addition to the silicon nitride layer, an amorphous material (including silicon carbonitride (SiCN), silicon oxynitride (SiON), aluminum nitride (AlN), silicon carbide (SiC), or the like) may be used as the barrier layer. In some embodiments, the barrier layer is composed of a plurality of sub-layers that are structured to form the barrier layer. Thus, the term "barrier layer" is not intended to mean a single layer or material, but rather encompasses one or more materials layered in a composite manner. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.

In some embodiments, the barrier layer (e.g., silicon nitride layer) 1218 prevents elements present in the core 1210 from diffusing or out-gassing into the environment of a semiconductor processing chamber in which the engineered substrate 1200 may be present, e.g., formed during a high temperature (e.g., 1000 ℃) epitaxial growth process. The elements present in core 1210 may include, for example, yttrium oxide (i.e., yttrium oxide), oxygen, metallic impurities, other trace elements, and the like. The diffused elements from core 1210 may cause incidental doping in engineered layer 1220/1222. Elements discharged from the core 1210 may pass through the chamber and adsorb on some locations of the wafer, resulting in impurities in the engineered layer 1220/1222 and the epitaxial material 1230. Using the encapsulation layers described herein, ceramic materials including polycrystalline aluminum nitride designed for use in unclean chamber environments may be used in semiconductor process flows and clean chamber environments.

A bonding layer 1220 (e.g., a silicon oxide layer) is disposed on a portion of the barrier layer 1218, e.g., on an upper surface of the barrier layer, and is subsequently used during bonding of the single crystal layer 1222. In some embodiments, the bonding layer 920 may be about 1.5 μm thick. The single-crystal layer 1222 may include, for example, silicon carbide, sapphire, gallium nitride, aluminum nitride, silicon germanium, diamond, gallium sesquioxide, aluminum gallium nitride, indium nitride, and/or zinc oxide. In some embodiments, the single crystal layer 1222 may have a thickness of 0 to 0.5 μm. Monocrystalline layer 1222 is suitable for use as a growth layer during an epitaxial growth process to form epitaxial material 1230. The crystalline layer of epitaxial material 1230 is an extension of the underlying semiconductor lattice associated with monocrystalline layer 1222. The unique CTE matching characteristics of the engineered substrate 1200 enable the growth of thicker epitaxial material 1230 than in the prior art. In some embodiments, the epitaxial material 1230 includes a gallium nitride layer having a thickness of 2 μm to 10 μm, which may be used as one of a plurality of layers applied in optoelectronic devices, power devices, and the like. In one embodiment, the bonding layer 1220 comprises a single crystal silicon layer that is attached to a silicon oxide barrier layer 1218 using a layer transfer process.

Fig. 13 is a simplified schematic diagram illustrating an engineered substrate structure according to an embodiment of the invention. The engineered substrate 1300 shown in fig. 13 is suitable for use in a variety of electronic and optical applications. The engineered substrate 1300 includes a core 1310, which may have a coefficient of thermal expansion (CET) that substantially matches a CTE of an epitaxial material 1230 to be grown on the engineered substrate 1300. The epitaxial material 1230 is shown as optional because it is not required to be an integral part of the engineered substrate structure, but would typically be grown on the engineered substrate.

For applications involving the growth of gallium nitride (GaN) -based materials, including epitaxial layers of GaN-based layers, core 1310 may be a polycrystalline ceramic material, such as polycrystalline aluminum nitride (AlN). The thickness of the core 1310 may be about 100 μm to 1500 μm, for example, 725 μm. The core 1310 may be encapsulated in a first adhesive layer 1312, which first adhesive layer 1312 may be referred to as a shell or encapsulating shell. In this embodiment, the first adhesive layer 1312 completely encapsulates the core, but as discussed in further detail with respect to fig. 14, this is not required for the invention.

In one embodiment, the first adhesion layer 1312 includes a tetraethyl orthosilicate (TEOS) layer having a thickness of approximatelyIn other embodiments, the thickness of the first adhesion layer 1312 is varied, for example, as inToMay be varied within the range of (1). Although TEOS is used for the adhesion layer in some embodiments, other materials that provide adhesion between a later deposited layer and an underlying layer or material may also be used in accordance with embodiments of the present invention. For example, SiO2SiON, etc. adhere well to ceramic materials and provide a suitable surface for subsequent deposition (e.g., deposition of conductive materials). In some embodiments, first adhesion layer 1312 completely surrounds core 1010 to form a completely encapsulated core, and first adhesion layer 1012 may be formed using an LPCVD process. The adhesion layer 1312 provides such a surface: subsequent layers adhering to the surfaceTo form an integral part of the engineered substrate structure.

In accordance with embodiments of the present invention, other semiconductor processes may be used in addition to forming the adhesion layer 1312 of the package using an LPCVD process, a furnace-based process, or the like. By way of example, a deposition process (e.g., CVD, PECVD, etc.) may be used that coats a portion of the core 1310, the core 1310 may be flipped, and the coating process may be repeated to coat other portions of the core 1310.

A conductive layer 1314 is formed on at least a portion of the first adhesion layer 1312. In one embodiment, the conductive layer 1314 comprises polysilicon (i.e., polycrystalline silicon) formed on a lower portion (e.g., the bottom half or back side) of the core and adhesion layer structure by a deposition process. In embodiments where conductive layer 1314 is polysilicon, the thickness of the polysilicon layer may be on the order of several thousand angstroms (angstroms), for exampleIn some embodiments, the polysilicon layer may be formed using an LPCVD process.

In one embodiment, the conductive layer 1314 may be a doped polysilicon layer to provide a highly conductive material, for example, the conductive layer 1314 may be doped with boron to provide a P-type polysilicon layer. In some embodiments, the boron doping ranges from about 1 × 1019cm-3To 1X 1020cm-3To provide high conductivity. The presence of the conductive layer 1014 is useful during electrostatic chucking of the engineered substrate 1314 to a semiconductor processing tool, such as a tool having an electrostatic chuck (ESC). The conductive layer 1314 can enable rapid desorption after processing. Thus, embodiments of the present invention provide a substrate structure that can be processed in the manner used for conventional silicon wafers. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.

A second adhesion layer 1316 (e.g., a second TEOS layer) is formed around the conductive layer 1314 (e.g., a polysilicon layer). The second adhesion layer 1316 has a thickness of aboutIn some embodiments, the second adhesion layer 1316 may completely surround the conductive layer 1314 and the first adhesion layer 1312 to form a completely encapsulated structure, and the second adhesion layer 1316 may be formed using a LPCVD process. In other embodiments, the second adhesion layer 1316 only partially surrounds the conductive layer 1314, e.g., ends at the location shown by plane 1317, which plane 1017 may be aligned with the upper surface of the conductive layer 1314. In this example, the upper surface of conductive layer 1314 can be in contact with a portion of barrier layer 1318. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.

A barrier layer 1318 (e.g., a silicon nitride layer) is formed around the second adhesion layer 1316. In some embodiments, the barrier layer 1318 has an approximate thicknessToIs measured. In some embodiments, barrier layer 1318 completely surrounds second adhesion layer 1316 to form a completely encapsulated structure, and LPCVD processes may be utilized to form barrier layer 1318.

In some embodiments, the use of a silicon nitride layer barrier layer prevents diffusion or outgassing of elements present in the core 1310, including, for example, yttria (i.e., yttrium oxide), oxygen, metallic impurities, other trace elements, etc., into the environment of a semiconductor processing chamber in which the engineered substrate may be present, for example, during high temperature (e.g., 1000 ℃) epitaxial growth processes. By using the encapsulation layer described herein, ceramic materials, including polycrystalline aluminum nitride, designed for use in non-clean room environments, can be used in semiconductor process flows and clean room environments.

Fig. 14 is a simplified schematic diagram illustrating an engineered substrate structure according to another embodiment of the invention. In the embodiment illustrated in fig. 14, a first adhesive layer 1412 is formed on at least a portion of the core 1410, but does not encapsulate the core 1410. In this embodiment, the first adhesive layer 1412 is formed on the lower surface of the core 1410 (the back surface of the core 1410) in order to improve the adhesion of a subsequently formed conductive layer 1414 (which will be described more fully below). Although the adhesion layer 1412 is shown only on the lower surface of the core 1410 in fig. 14, it should be understood that depositing the adhesion layer material on other portions of the core 1410 will not adversely affect the performance of the engineered substrate structure, and such material may be present in various embodiments. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.

Conductive layer 1414 does not encapsulate first adhesive layer 1412 and core 1410, but is substantially aligned with first adhesive layer 1412. Although the conductive layer 1414 is shown extending along the bottom or back of the first adhesive layer 1412 and along a portion of the sides of the first adhesive layer 1412 extending upward, the extension along the vertical sides is not required by the present invention. Thus, embodiments may employ deposition on one side of the substrate structure, masking (masking) one side of the substrate structure, and so forth. The conductive layer 1414 may be formed on a portion of one side (e.g., the bottom or back side) of the first adhesive layer 1412. Conductive layer 1414 provides an electrical conductor on one side of an engineered substrate structure that has advantages in Radio Frequency (RF) and high power applications. Conductive layer 1414 may comprise doped polysilicon as discussed with respect to conductive layer 1314 in fig. 13.

To improve adhesion of the barrier layer 1418 to the underlying material, a portion of the core 1410, a portion of the first adhesion layer 1412, and the conductive layer 1414 are covered by a second adhesion layer 1416. As discussed above, the barrier layer 1418 forms an encapsulation structure to prevent diffusion from the underlying layers.

In addition to semiconductor-based conductive layers, in other embodiments, conductive layer 1414 is a metal layer, e.g.,Titanium layer, etc.

Referring again to fig. 14, one or more layers may be removed according to this embodiment. For example, layer 1412 and layer 1414 may be removed, leaving only a single adherent shell 1416 and the barrier 1418. In other embodiments, only layer 1414 may be removed. In this embodiment, the layer 1412 may also balance the stress and wafer bow caused by the layer 1220 deposited on top of the layer 1418. The construction of a substrate structure with an insulating layer on the top surface of the core 1410 (e.g., only an insulating layer between the core 1410 and the layer 1220) may provide benefits for power/RF applications where a highly insulating substrate is desired.

In another embodiment, the barrier layer 1118 may encapsulate the core 1410 directly, followed by the conductive layer 1114 and subsequent adhesion layer 1416. In this embodiment, layer 1220 may be deposited directly on adhesive layer 1416 from the top side. In yet another embodiment, an adhesion layer 1416 may be deposited on the core 1410, followed by a barrier layer 1418, and then followed by a conductive layer 1414 and another adhesion layer 1412.

Fig. 15 is a simplified flow diagram illustrating a method 1500 of forming a vertical schottky diode according to an embodiment of the present invention. The method 1500 can be used to fabricate a substrate having a CTE matched to the CTE of one or more epitaxial layers grown on the substrate. The method 1500 comprises: a support substrate is formed by providing a polycrystalline ceramic core (1510), encapsulating the polycrystalline ceramic core in a first adhesive layer forming a shell (e.g., a TEOS oxide shell) (1512), and encapsulating the first adhesive layer in a conductive shell (e.g., a polysilicon shell) (1514). The first adhesion layer may be formed as a single layer of TEOS oxide. The conductive shell may be formed as a single layer of polysilicon.

The method 1500 further comprises: encapsulating (1516) the conductive shell in a second adhesion layer (e.g., a second TEOS oxide shell); and encapsulating (1518) the second adhesive layer in a barrier shell. The second adhesion layer may be formed as a single layer of TEOS oxide. The barrier layer may be formed as a single layer of silicon nitride.

Once the support structure is formed by processes 1510 through 1518, the method 1500 further comprises: bonding a bonding layer (e.g., a silicon oxide layer) to the support structure (1520); and bonding a substantially single crystal layer (e.g., a single crystal silicon layer) to the silicon oxide layer (1522). Other substantially single crystal layers may also be used according to embodiments of the present invention, including: silicon carbide, sapphire, gallium nitride, aluminum nitride, silicon germanium, diamond, gallium sesquioxide, zinc oxide, and the like. The bonding of the bonding layer may include deposition of a bonding material followed by a planarization process as described herein. In one embodiment as described below, a substantially single crystal layer (e.g., a single crystal silicon layer) is bonded to a bonding layer using a layer transfer process in which the layer is a single crystal silicon layer transferred from a silicon wafer.

Referring to fig. 12, the bonding layer 1220 may be formed by depositing a thick (e.g., 4 μm thick) oxide layer, followed by thinning the oxide to about 1.5 μm using a Chemical Mechanical Polishing (CMP) process. The thick initial oxide serves to fill voids and surface features present on the support structure that may be present after fabrication of the polycrystalline core and which persist in forming the encapsulation layer shown in fig. 12. The oxide layer may also serve as a dielectric layer for the device. The CMP process provides a substantially planar surface without voids, particles, or other features that may be used during a wafer transfer process to bond a single crystal layer 1222 (e.g., a single crystal silicon layer) to a bonding layer 1220. It should be understood that the bonding layer does not necessarily feature an atomically flat surface, but rather should provide a substantially flat surface that will support bonding of a single crystal layer (e.g., a single crystal silicon layer) with a desired reliability.

A layer transfer process is used to bond the single crystal layer 1222 (e.g., a single crystal silicon layer) to the bonding layer 1220. In some embodiments, a silicon wafer comprising a substantially single crystal layer 1222 (e.g., a single crystal silicon layer) is implanted to form a cleave plane. In this embodiment, after wafer bonding, the silicon substrate may be removed along with a portion of the single crystal silicon layer below the cleave plane, resulting in a delaminated single crystal silicon layer. The thickness of the single crystal layer 1222 may be varied to suit the specifications of various applications. In addition, the crystal orientation of the single crystal layer 1222 can be changed to suit the specifications of the application. In addition, the doping level and profile of the single crystal layer can be varied to meet the specifications of a particular application. In some embodiments, the implant depth may be adjusted to be greater than the desired final thickness of the single crystal layer 1222. The additional thickness allows for removal of damaged thin portions of the transferred substantially single crystal layer, leaving undamaged portions having the desired final thickness. In some embodiments, the roughness of the surface may be modified for high quality epitaxial growth. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.

In some embodiments, the single crystal layer 1222 may be thick enough to provide a high quality lattice template for subsequent growth of one or more epitaxial layers, but thin enough to have high compliance (compliant). The single-crystal layer 1222 may be considered "compliant" when the single-crystal layer 1222 is relatively thin such that its physical properties are less constrained, and can be similar to the material surrounding the single-crystal layer, and has a lesser tendency to produce crystal defects. The compliance of the single-crystal layer 1222 may be inversely proportional to the thickness of the single-crystal layer 1222. Higher compliance can result in lower defect density in the epitaxial layer grown on the template and enable thicker epitaxial layer growth. In some embodiments, the thickness of monocrystalline layer 1222 may be increased by epitaxial growth of silicon on a lift-off silicon layer.

In some embodiments, adjustment of the final thickness of monocrystalline layer 1222 may be achieved by thermal oxidation of the top of the stripped silicon layer followed by oxide stripping with Hydrofluoric (HF) acid. For example, a stripped silicon layer having an initial thickness of 0.5 μm may be thermally oxidized to produce a silicon dioxide layer having a thickness of about 420 nm. The thickness of the remaining silicon in the transfer layer after removal of the grown thermal oxide may be about 53 nm. During thermal oxidation, the implanted hydrogen migrates toward the surface. Thus, subsequent stripping of the oxide layer can remove some of the damage. In addition, thermal oxidation is generally carried out at a temperature of 1000 ℃ or higher. Elevated temperatures can also repair lattice damage.

Formed on top of a monocrystalline layer during thermal oxidationThe silicon oxide layer on the portion may be stripped by HF acid etching. By adjusting the temperature and concentration of the HF solution and the stoichiometry and density of the silica, the HF acid concentration in the silica and Silicon (SiO) can be adjusted2Si) is used. Etch selectivity refers to the etch rate of one material relative to the other. For (SiO)2: si), the selectivity of the HF solution may be in the range of about 10: 1 to about 100: 1, in the above range. The high etch selectivity may reduce the surface roughness by a similar factor from the initial surface roughness. However, the surface roughness of the resulting monocrystalline layer 152 may still be greater than desired. For example, the Root Mean Square (RMS) surface roughness of the bulk silicon (111) surface as determined by a 2 μm x 2 μm Atomic Force Microscope (AFM) scan may be less than 0.1nm before additional processing is performed. In some embodiments, the desired surface roughness for epitaxial growth of gallium nitride material on silicon (111) may be, for example, less than 1nm, less than 0.5nm, or less than 0.2nm in a 30 μm x 30 μm AFM scan region.

After thermal oxidation and oxide layer stripping, if the surface roughness of the monocrystalline layer 1222 exceeds the desired surface roughness, an additional surface smoothing process will be performed. There are several methods of smoothing the silicon surface. These methods may include: hydrogen annealing, laser trimming, plasma smoothing, and touch polishing (e.g., CMP). These methods may involve preferential erosion (preferential attack) of high aspect ratio surface peaks. Thus, high aspect ratio features on the surface may be removed faster than low aspect ratio features, resulting in a smoother surface.

It should be understood that the specific steps illustrated in fig. 15 provide a particular method of forming a vertical schottky diode according to an embodiment of the present invention. Other sequences of steps may also be performed according to alternative embodiments. For example, alternative embodiments of the present invention may perform the above steps in a different order. Moreover, the individual steps illustrated in fig. 15 may include multiple sub-steps that may be performed in various sequences as appropriate to the individual step. In addition, additional steps may be added or removed depending on the particular application. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.

Although some embodiments have been discussed in terms of layers, the term "layer" should be understood that a layer may include multiple sub-layers that are constructed to form the layer of interest. Thus, the term "layer" is not intended to mean a single layer composed of a single material, but rather encompasses one or more materials that are layered in a composite manner to form the desired structure. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.

It is also understood that the examples and embodiments described herein are for illustrative purposes only and that various modifications or changes in light thereof will be suggested to persons skilled in the art and are to be included within the spirit and purview of this application and scope of the appended claims.

33页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:半导体装置和电力变换装置

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!