Method for controlling a lithographic apparatus and related apparatus

文档序号:1821483 发布日期:2021-11-09 浏览:9次 中文

阅读说明:本技术 控制光刻装置的方法和相关装置 (Method for controlling a lithographic apparatus and related apparatus ) 是由 F·斯塔尔斯 S·H·C·范戈尔普 于 2020-02-17 设计创作,主要内容包括:公开了一种用于配置用于向衬底上的层提供结构的装置的方法,该方法包括:获取第一数据,该第一数据包括在结构到衬底上的层的提供之前测量和/或建模的衬底特定数据;以及针对至少两个不同控制方案基于上述第一数据和包括与至少两个控制方案相关联的参数的共同评价函数的使用来确定该装置的配置。(A method for configuring an apparatus for providing a structure to a layer on a substrate is disclosed, the method comprising: obtaining first data comprising substrate-specific data measured and/or modeled prior to provision of a structure to a layer on a substrate; and determining a configuration of the apparatus for at least two different control schemes based on the first data and use of a common merit function comprising parameters associated with the at least two control schemes.)

1. A method for configuring an apparatus for providing a structure to a layer on a substrate, the method comprising:

obtaining first data comprising substrate-specific data measured and/or modeled prior to providing the structure to the layer on the substrate; and

determining a configuration of the apparatus based on the first data and use of a common merit function for at least two different control schemes, the common merit function comprising parameters associated with the at least two control schemes.

2. The method of claim 1, wherein said substrate-specific data comprises metrology data relating to a characteristic of said substrate and/or relating to a state of said device when said structure is provided to said layer on said substrate.

3. The method of claim 1, wherein the determining of the configuration is performed separately for each substrate on which the structure is provided based on the substrate-specific data corresponding to the substrate.

4. The method of claim 1, wherein the apparatus is a lithographic apparatus and the substrate-specific data comprises leveling data describing a shape of the substrate, and the one or more control schemes comprise control of one or both of a substrate table and a reticle table, the substrate table and reticle table being included within the lithographic apparatus, parallel to a substrate plane, and control of one or both of the substrate table and reticle table, perpendicular to the substrate plane.

5. The method of claim 1, wherein the first data comprises average metrology data averaged over a plurality of substrates, and the step of determining the configuration is performed based on the average metrology data to determine actuator offsets for the device for use in one or more further steps of determination of configuration.

6. The method of claim 1, wherein the apparatus is a lithographic apparatus and the control scheme comprises two or more of: exposure track control in each of the x, y and z directions, lens aberration correction, dose control, and laser bandwidth control of a source laser for the lithographic apparatus.

7. The method of claim 1, wherein the apparatus is a lithographic apparatus and the common merit function is operable to minimize edge position errors.

8. The method of claim 1, wherein the common merit function includes parameters related to at least two of: overlay control, focus control, dose control, aberration control, contrast control, and source laser output control.

9. The method of claim 1, wherein the common merit function is configured according to optimization data comprising measured and/or simulated performance parameter data associated with structures and/or arrangements of the structures to be applied to the layer on the substrate.

10. The method of claim 9, wherein the optimization data includes optimal performance parameter data.

11. The method of claim 10, wherein the optimal performance parameter data comprises:

i) a best focus map describing a best focus setting of a die across a field and/or across the layer on the substrate, and/or

ii) an optimal energy map describing optimal energy settings across the field and/or across the dies of the layer on the substrate.

12. The method of claim 9, wherein determining the configuration comprises:

minimizing the maximum deviation of the performance parameter from the corresponding control target value, and/or

Maximizing a distance of the performance parameter from an edge of a corresponding allowed variation space of the performance parameter.

13. The method of claim 1, wherein determining the configuration comprises maximizing a number of dies provided to the layer on the substrate, the number estimated to be within a specification indicating that the dies are to function.

14. The method of claim 9, wherein determining the configuration comprises using the optimization data to determine weights for the parameters included within the common merit function.

15. A computer program comprising program instructions operable, when run on a suitable apparatus, to perform the method of claim 1.

Technical Field

The present invention relates to a method and apparatus for applying a pattern to a substrate in a lithographic process.

Background

A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. Lithographic apparatus can be used, for example, to manufacture Integrated Circuits (ICs). In such cases, a patterning device (alternatively referred to as a mask or a reticle) may be used to generate a circuit pattern to be formed on an individual layer of the IC. The pattern can be transferred onto a target portion (e.g., comprising part of, one, or several dies) on a substrate (e.g., a silicon wafer). The transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. Typically, a single substrate will contain a network of adjacent target portions that are successively patterned. The known lithographic apparatus comprises: so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time; and so-called scanners, in which each target portion is irradiated by scanning the pattern through the radiation beam in a given direction (the "scanning" -direction) while synchronously scanning the substrate parallel or anti-parallel to this direction. The pattern may also be transferred from the patterning device to the substrate by imprinting the pattern onto the substrate.

Parameters of the patterned substrate are measured in order to monitor the lithographic process. Parameters may include, for example, overlay error between successive layers formed in or on the patterned substrate and critical line width (CD) of the developed photoresist. The measurements may be performed on the production substrate and/or on dedicated metrology targets. There are a variety of techniques that can be used to measure the microstructure formed in a lithographic process, including the use of scanning electron microscopes and various specialized tools. One fast and non-invasive form of dedicated inspection tool is a scatterometer in which a radiation beam is directed onto a target on the surface of a substrate and the properties of the scattered or reflected beam are measured. Two main types of scatterometers are known. A spectral scatterometer directs a broadband radiation beam onto a substrate and measures the spectrum (intensity as a function of wavelength) of the radiation scattered into a particular narrow angular range. Angle-resolved scatterometers use monochromatic radiation beams and measure the intensity of the scattered radiation as a function of angle.

Examples of known scatterometers include angle-resolved scatterometers of the type described in US2006033921a1 and US2010201963a 1. The target used by such scatterometers is relatively large, e.g., a 40 μm by 40 μm grating, and the measurement beam generates a spot that is smaller than the grating (i.e., the grating is under-filled). In addition to measuring the feature shape by reconstruction, diffraction-based overlay can also be measured using this apparatus, as described in published patent application US2006066855a 1. Diffraction-based overlay metrology using dark-field imaging of diffraction orders can make overlay measurements on smaller targets. Examples of dark field imaging measurements may be found in international patent applications WO2009/078708 and WO2009/106279, which are incorporated herein by reference in their entirety. Further developments of this technology have been described in the published patent publications US20110027704A, US20110043791A, US2011102753a1, US20120044470A, US20120123581A, US20130258310A, US20130271740A and WO2013178422a 1. These targets may be smaller than the illumination spot and may be surrounded by product structures on the wafer. Multiple gratings may be measured in one image using a composite grating target. The contents of all of these applications are also incorporated herein by reference.

Process control methods are used to monitor and control a process when performing a lithographic process, such as applying a pattern on a substrate or measuring such a pattern. Such process control techniques are typically performed to obtain corrections for controlling the lithographic process. It is desirable to improve such process control methods.

Disclosure of Invention

In a first aspect of the invention, there is provided a method for configuring an apparatus for providing a structure to a layer on a substrate, the method comprising: acquiring first data comprising substrate-specific data measured and/or modeled prior to providing a structure to a layer on a substrate; and determining a configuration of the apparatus based on the first data and a use of a common evaluation function for at least two different control schemes, the common evaluation function comprising parameters associated with the at least two control schemes.

In other aspects of the invention, there is provided a computer program comprising program instructions operable, when run on suitable apparatus, to perform the method of the first aspect, a processing device comprising a processor and a storage device having such a computer program, and an apparatus having such a processing device.

Further aspects, features, and advantages of the present inventions, as well as the structure and operation of the various embodiments of the present invention, are described in detail below with reference to the accompanying drawings. Note that the present invention is not limited to the specific embodiments described herein. Such embodiments are presented herein for illustrative purposes only. Other embodiments will be apparent to persons skilled in the relevant art(s) based on the teachings contained herein.

Drawings

Embodiments of the invention will now be described, by way of example, with reference to the accompanying drawings, in which:

FIG. 1 depicts a lithographic apparatus that forms a manufacturing facility for semiconductor devices with other apparatus;

FIG. 2 includes a schematic diagram of a scatterometer for use in measuring a target in accordance with an embodiment of the present invention;

FIG. 3 illustrates exemplary sources of processing parameters;

FIG. 4 schematically illustrates the concept of Overlapping Processing Windows (OPWs);

FIG. 5 schematically depicts a current method of determining a correction for control of a lithographic apparatus; and

FIG. 6 schematically depicts (a) an arrangement and (b) a control method of a lithographic apparatus according to an embodiment of the invention.

Detailed Description

Before describing embodiments of the present invention in detail, it is helpful to present an example environment in which embodiments of the present invention may be implemented.

FIG. 1 shows, at 200, a lithographic apparatus LA as part of an industrial production facility implementing a high volume lithographic manufacturing process. In the present example, the manufacturing process is suitable for manufacturing semiconductor products (integrated circuits) on a substrate such as a semiconductor wafer. Those skilled in the art will appreciate that a variety of products may be manufactured by processing different types of substrates in variations of this process. The production of semiconductor products is purely used as an example of great commercial significance today.

Within the lithographic apparatus (or simply "lithographic tool" 200), a measurement station MEA is shown at 202 and an exposure station EXP is shown at 204. The control unit LACU is shown at 206. In this example, each substrate travels through the metrology station and the exposure station to apply the pattern. For example, in an optical lithographic apparatus, the projection system is used to transfer a product pattern from the patterning device MA onto the substrate using the modulated radiation and the projection system. This is done by forming a patterned image in a layer of radiation-sensitive resist material.

The term "projection system" used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. The patterning MA device may be a mask or a reticle, which imparts a pattern to the radiation beam transmitted or reflected by the patterning device. Well-known modes of operation include a step mode and a scan mode. As is well known, projection systems can cooperate in a variety of ways with support and positioning systems for the substrate and patterning device to apply a desired pattern to many target portions on the substrate. A programmable patterning device may be used instead of a reticle with a fixed pattern. For example, the radiation may comprise electromagnetic radiation in the Deep Ultraviolet (DUV) or Extreme Ultraviolet (EUV) wavelength bands. The present disclosure is also applicable to other types of lithographic processes, such as imprint lithography and direct write lithography, for example by electron beam.

The lithographic apparatus control unit LACU controls all movements and measurements of the various actuators and sensors to receive the substrate W and the reticle MA and to effect the patterning operation. The LACU also includes signal processing and data processing capabilities to implement desired calculations related to the operation of the device. In practice, the control unit LACU will be implemented as a system consisting of many sub-units, each handling real-time data acquisition, processing and control of sub-systems or components within the device.

Before the pattern is applied to the substrate at the exposure station EXP, the substrate is processed at the measurement station MEA, so that various preparation steps can be performed. The preparing step may include mapping a surface height of the substrate using a liquid level sensor and measuring a position of an alignment mark on the substrate using an alignment sensor. The alignment marks are nominally arranged in a regular grid pattern. However, due to inaccuracies in creating the marks and due to deformations of the substrate occurring during its entire processing, the marks may deviate from the ideal grid. Thus, in addition to measuring the position and orientation of the substrate, if the device is to print product features in the correct position with very high accuracy, in practice the alignment sensor must measure in detail the position of many marks over the entire substrate area. The device may be of the so-called dual stage type, having two substrate tables, each having a positioning system controlled by a control unit LACU. When one substrate on one substrate table is exposed at the exposure station EXP, another substrate can be loaded onto the other substrate table at the measurement station MEA so that various preparation steps can be performed. Therefore, the measurement of the alignment marks is very time consuming, and providing two substrate tables can significantly increase the throughput of the device. IF the position sensor IF is unable to measure the position of the substrate table when it is at the measurement and exposure stations, a second position sensor may be provided to enable the position of the substrate table to be tracked at both stations. The lithographic apparatus LA may, for example, be of a so-called dual stage type, having two substrate tables and two stations (an exposure station and a measurement station) between which the substrate tables may be exchanged.

Within the production facility, the apparatus 200 forms part of a "lithography unit" or "lithography cluster" which also contains a coating apparatus 208 for applying photoresist and other coatings onto the substrate W for patterning by the apparatus 200. On the output side of the apparatus 200, a baking apparatus 210 and a developing apparatus 212 are provided for developing the exposed pattern into a physical resist pattern. Between all these devices, the substrate handling system is responsible for supporting the substrates and transferring them from one device to the next. These devices, which are generally referred to collectively as tracks, are controlled by a track control unit, which is itself controlled by a supervisory control system SCS, which also controls the lithographic apparatus via a lithographic apparatus control unit LACU. Thus, different devices may be operated to maximize throughput and processing efficiency. The supervisory control system SCS receives recipe information R that provides a detailed definition of the steps to be performed to create each patterned substrate.

Once the pattern is applied and developed in the lithography unit, the patterned substrate 220 is transferred to other processing devices, such as shown at 222, 224, 226. The various processing steps are performed by various devices in a typical manufacturing facility. For example, device 222 in this embodiment is an etch station and device 224 performs a post etch annealing step. Further physical and/or chemical treatment steps are applied in further devices 226 or the like. Fabricating real devices may require various types of operations such as deposition of materials, modification of surface material properties (oxidation, doping, ion implantation, etc.), Chemical Mechanical Polishing (CMP), etc. Indeed, the apparatus 226 may represent a series of different processing steps performed in one or more apparatuses. As another example, apparatus and processing steps may be provided for implementing self-aligned multi-patterning to produce a plurality of smaller features based on a precursor pattern placed by a lithographic apparatus.

As is well known, the fabrication of semiconductor devices involves multiple iterations of this process to build a device structure of appropriate materials and patterns layer by layer on a substrate. Thus, the substrates 230 that arrive at the lithography cluster may be newly prepared substrates, or they may be substrates that were previously processed in the cluster or completely in another apparatus. Similarly, depending on the processing required, the substrates 232 exiting the apparatus 226 may be returned for subsequent patterning operations in the same lithographic cluster, they may be sent to patterning operations in a different cluster, or they may be finished products to be sent for dicing and packaging.

Each layer of the product structure requires a different set of processing steps and the type of device 226 used at each layer may be quite different. Furthermore, even where the processing steps to be applied by the apparatus 226 are nominally the same, in a large facility, there may be several machines working in parallel to perform the steps 226 on different substrates, assuming the same machine. Slight differences in setup or failure between these machines may indicate that they affect different substrates in different ways. Even a relatively common step for each layer, such as etching (device 222), can be achieved by several etching devices that are nominally identical but operate in parallel to maximize throughput. Furthermore, in practice, different layers require different etching processes, such as chemical etching, plasma etching, for example anisotropic etching, depending on the details and the specific requirements of the material to be etched.

The preceding and/or following processes may be performed in other lithographic apparatus, as just mentioned, and may even be performed in different types of lithographic apparatus. For example, some layers in a device manufacturing process that have high requirements on parameters such as resolution and overlay may be performed in more advanced lithography tools than other layers that have lower requirements. Thus, some layers may be exposed in an immersion lithography tool, while other layers are exposed in a "dry" tool. Some layers may be exposed in a tool operating at a DUV wavelength while other layers are exposed using EUV wavelength radiation.

In order to properly and consistently expose a substrate exposed by a lithographic apparatus, the exposed substrate needs to be inspected to measure properties such as overlay error, line thickness, Critical Dimension (CD), etc. between subsequent layers. Thus, the manufacturing facility in which the lithography unit LC is located also includes a metrology system that receives some or all of the substrates W that have been processed in the lithography unit. The measurement results are directly or indirectly provided to the supervisory control system SCS. If an error is detected, adjustments can be made to the exposure of subsequent substrates, particularly if the metrology can be fast enough and fast enough so that other substrates of the same batch are still being exposed. In addition, substrates that have been exposed may be stripped and reworked to improve yield, or discarded, thereby avoiding further processing of substrates known to be defective. In the case where only a portion of the target portion of the substrate is defective, additional exposures may be taken only for good target portions.

Also shown in fig. 1 is a metrology device 240, the metrology device 240 being provided for measuring a parameter of a product at a desired stage of a manufacturing process. One common example of a metrology station in a modern lithography production facility is a scatterometer, such as a dark field scatterometer, an angle-resolved scatterometer, or a spectral scatterometer, and the scatterometer can be used to measure properties of the developed substrate at 220 prior to etching in device 222. Using the metrology device 240, it may be determined, for example, that an important performance parameter, such as overlay or Critical Dimension (CD), does not meet the accuracy requirements specified in developing the resist. Prior to the etching step, there is an opportunity to strip the developed resist and reprocess the substrate 220 through the lithography cluster. With minor adjustments over time by the supervisory control system SCS and/or the control unit LACU 206, the metrology results 242 from the device 240 can be used to maintain accurate performance of the patterning operations in the lithography cluster, thereby minimizing the risk of product out of specification and requiring rework.

Additionally, metrology device 240 and/or other metrology devices (not shown) may be employed to measure properties of the processed substrates 232, 234 and the incoming substrate 230. The metrology apparatus may be used to process substrates to determine important parameters such as overlay or CD.

A measurement device suitable for use in embodiments of the present invention is shown in fig. 2 (a). The target T and the diffracted rays of the measuring radiation used to illuminate the target are shown in more detail in fig. 2 (b). The measurement apparatus shown is of a type known as a dark field measurement apparatus. The metrology device may be a stand-alone apparatus or incorporated into the lithographic apparatus LA, for example at a metrology station or lithographic cell LC. The optical axis with multiple branches in the overall device is indicated by the dashed line O. In this apparatus, light emitted by a light source 11 (e.g., a xenon lamp) is directed onto a substrate W by an optical system including lenses 12, 14 and an objective lens 16 via a beam splitter 15. The lenses are arranged in a double sequence of 4F arrangements. A different lens arrangement may be used as long as it still provides a substrate image onto the detector and at the same time allows access to the intermediate pupil plane for spatial frequency filtering. Thus, the angular range of radiation incident on the substrate can be selected by defining a spatial intensity distribution in a plane (referred to herein as the (conjugate) pupil plane) that exhibits the spatial spectrum of the substrate plane. This can be achieved in particular by inserting an aperture plate 13 of suitable form between the lenses 12 and 14 in the plane of the back-projected image as the pupil plane of the objective lens. In the example shown, the aperture plate 13 has different forms, labelled 13N and 13S, to allow different illumination modes to be selected. The illumination system in this example forms an off-axis illumination mode. In the first illumination mode, the aperture plate 13N provides off-axis from a direction designated "north" for descriptive purposes only. In the second illumination mode, the aperture plate 13S is used to provide similar illumination, but from the opposite direction, labeled "south". Other illumination modes are possible by using different apertures. The rest of the pupil plane is preferably dark, since any unnecessary light outside the desired illumination mode will disturb the desired measurement signal.

As shown in fig. 2(b), the target T is placed such that the substrate W is perpendicular to the optical axis O of the objective lens 16. The substrate W may be supported by a support (not shown). The measuring radiation beam I strikes the target T at an angle offset from the axis O to produce one zero-order ray (solid line 0) and two first-order rays (dash-dot line +1 and dash-dot line-1). It should be kept in mind that for small overfilled targets, these rays are only one of many parallel rays that cover the area of the substrate (including the metrology target T and other features). Since the apertures in the plate 13 have a finite width (necessary to allow a useful amount of light), the incident light rays I will actually occupy a range of angles, and the diffracted light rays 0 and +1/-1 will be slightly spread out. According to the point spread function of a small target, each +1 and-1 order will further spread into a range of angles, rather than a single ideal ray as shown. Note that the grating pitch and illumination angle of the target can be designed or adjusted so that the primary rays entering the objective lens are closely aligned with the central optical axis. The rays shown in fig. 2(a) and 2(b) are slightly off axis, purely to make them easier to distinguish in the figure.

At least the 0 and +1 orders diffracted by the target T on the substrate W are collected by the objective lens 16 and directed back through the beam splitter 15. Returning to fig. 2(a), the first and second illumination modes are shown by specifying fully inverted apertures labeled north (N) and south (S). When the incident ray I of the measurement radiation comes from the north side of the optical axis, i.e., when the first illumination mode is applied using the aperture plate 13N, the +1 diffracted ray labeled +1(N) enters the objective lens 16. In contrast, when the second illumination mode is applied using the aperture plate 13S, the-1 diffracted light (labeled 1(S)) is the light entering the lens 16.

The second beam splitter 17 splits the diffracted beam into two measurement branches. In the first measurement branch, the optical system 18 forms a diffraction spectrum (pupil plane image) of the target on a first sensor 19 (e.g., a CCD or CMOS sensor) using the zero-order and first-order diffracted beams. Each diffraction order strikes a different point on the sensor so image processing can compare and contrast the orders. The pupil plane images captured by the sensor 19 may be used for many measurement purposes, such as reconstruction used in the methods described herein. The pupil plane image may also be used for a focus distance measurement device and/or to normalize the intensity measurements of the primary beam.

In the second measurement branch, the optical system 20, 22 forms an image of the target T on a sensor 23 (e.g. a CCD or CMOS sensor). In the second measurement branch, the aperture stop 21 is arranged in a plane conjugate to the pupil plane. The aperture stop 21 functions to block the zero-order diffracted beam so that the target image formed on the sensor 23 is formed only by the-1 or +1 order beam. The images captured by the sensors 19 and 23 are output to a processor PU which processes the images, the function of the processor PU will depend on the particular type of measurement being performed. Note that the term "image" used herein is broad. Such a raster line image would not be formed if only one of the-1 and +1 levels were present.

The particular form of the aperture plate 13 and field stop 21 shown in figure 2 is purely exemplary. In another embodiment of the invention, substantially only one first order diffracted light is passed to the sensor using on-axis illumination of the target and using an aperture stop with an off-axis aperture. In other embodiments, secondary, tertiary, and higher order beams (not shown in FIG. 2) may be used in the measurement instead of or in addition to the primary beam.

The target T may include multiple gratings, which may have different biased overlay offsets to facilitate measuring the overlay between layers in which different portions of the composite grating are formed. The direction of the grating may also be different in order to diffract incident radiation in the X and Y directions. In one example, the target may include two X-direction gratings with bias overlay offsets + d and-d, and a Y-direction grating with bias overlay offsets + d and-d. The individual images of these gratings may be identified in the image captured by the sensor 23. Once the individual images of the grating are identified, the intensities of the individual images may be measured, for example, by averaging or summing selected pixel intensity values within the identified region. The intensity and/or other properties of the images may be compared to each other. These results can be combined to measure different parameters of the lithographic process.

Various techniques may be used to improve the accuracy of copying the pattern onto the substrate. Accurate replication of the pattern onto the substrate is not the only problem in IC production. Another problem is yield, which generally measures how many functional devices can be produced per substrate by a device manufacturer or device manufacturing process. Various methods can be employed to improve the yield. One such method attempts to make the production of devices (e.g., imaging a portion of a design layout onto a substrate using a lithographic apparatus such as a scanner) more resistant to perturbations of at least one processing parameter during processing of the substrate, e.g., during imaging of a portion of a design layout onto a substrate using a lithographic apparatus. The concept of Overlapping Process Windows (OPW) is a useful tool for this approach. The production of devices (e.g., ICs) may include other steps, such as substrate measurement before, after, or during imaging, loading or unloading of substrates, loading or unloading of patterning devices, positioning dies under projection optics before exposure, stepping from one die to another, and so forth. Further, the various patterns on the patterning device may have different process windows (i.e., a process parameter space within the specification under which the patterns are to be generated). Examples of pattern specifications related to potential system defects include inspection for necking, line pullback, line thinning, CD, edge placement, overlap, resist top loss, resist undercut, and/or bridging. All or some of the processing windows on the patterning device (typically the patterns within a particular region) may be obtained by merging (e.g., overlapping) the processing windows of each individual pattern. The process windows of these patterns are therefore referred to as overlapping process windows. The boundary of the OPW may contain the boundary of the processing window of some individual patterns. In other words, these individual patterns define the OPW. These individual patterns may be referred to as "hot spots" or "process window definition patterns" (PWLPs), which are used interchangeably herein. In controlling the lithographic process, hot spots can be addressed and are generally economical. When the hot spot is defect free, it is likely that all patterns are defect free. If the value of the processing parameter is outside the OPW, the imaging becomes more tolerant when the value of the processing parameter is closer to the OPW, or if the value of the processing parameter is within the OPW, when the value of the processing parameter is further away from the boundary of the OPW.

Fig. 3 illustrates an exemplary source of processing parameters 350. One source may be data 310 of the processing device, such as parameters of the lithographic apparatus, the source of the track, etc., the projection optics, the substrate table, etc. Another source may be data 320 from various substrate metrology tools, such as substrate height maps, focus maps, Critical Dimension Uniformity (CDU) maps, and the like. Data 320 may be acquired before a suitable substrate is subjected to a step (e.g., development) for preventing rework of the substrate. Another source may be data 330 from one or more patterning device metrology tools, patterning device CDU maps, patterning device (e.g., mask) film stack parameter variations, and the like. Yet another source may be data 340 from an operator of the processing device.

Fig. 4 schematically shows the concept of OPW. To illustrate this concept, assume that an area or grid element/pixel 500 on the patterning device has only two individual patterns 510 and 520. The area may include more patterns. The processing windows for the individual patterns 510 and 520 are 511 and 512, respectively. To illustrate this concept, it is assumed that the processing parameters include only focal length (horizontal axis) and dose (vertical axis). However, the processing parameters may include any suitable parameters. The OPW 550 of this region can be obtained by finding the overlap between the processing windows 511 and 512. OPW 550 is shown as a shaded area in fig. 4. OPW 550 may have an irregular shape. However, to easily represent the OPW and to easily determine whether a set of processing parameter values is within the OPW, a "fitting OPW" may be used instead (e.g., ellipse 560). The "fitting OPW" may be, for example, a maximum hyper-ellipsoid fitting inside the OPW (e.g., an ellipse in a 2-dimensional processing parameter space, an ellipsoid in a 3-dimensional processing parameter space, etc. in this example). Using "fitting OPW" tends to reduce computational costs, but does not take advantage of the full size of OPW.

The values of the processing parameters may be selected such that they are far from the OPW or the boundary of the fitted OPW to reduce the chance that the processing parameters move out of the OPW and thereby cause defects and reduce yield. A method of selecting values of processing parameters comprising: prior to actual imaging, (1) the lithographic apparatus is optimized (e.g., the source and projection optics are optimized) and the design layout is optimized, (2) the OPW or fitted OPW is determined (e.g., by simulation), and (3) a point in space of the process parameter is determined (i.e., a value of the process parameter is determined) that is as far away as possible from the OPW or fitted OPW boundary (this point may be referred to as the "center" of the OPW or fitted OPW). In the example of fig. 4, point 555 is the point in process parameter space that is as far as possible from the boundary of OPW 550, and point 565 is the point in process parameter space that is as far as possible from the boundary of fitting OPW 560. Points 555 and 565 may be referred to as nominal conditions. During or before imaging, if the processing parameters move from point 555 or point 565 toward the boundary of the OPW, and even outside the boundary of the OPW, it would be beneficial to have the ability to effect such movement and make appropriate corrections to place the processing parameters back into the OPW and away from its boundary, preferably without interrupting imaging or other processing.

During or before actual imaging, the processing parameters may have perturbations that cause them to deviate from points as far as possible from the OPW or the boundary that fits the OPW. For example, the focal length may change due to topography of the substrate to be exposed, drift of the substrate table, distortion of the projection optics, etc.; the dose may change due to drift in source intensity, residence time, etc. The perturbations may be large enough to cause the process parameters to exceed the OPW, which may result in defects. Various techniques may be used to identify the perturbed process parameter and to correct the process parameter. For example, if the focus is perturbed, for example because an area of the substrate slightly raised from the rest of the substrate is exposed, the substrate table may be moved or tilted to compensate for the perturbation.

Control of the lithographic process is typically based on feedback or feed-forward measurements, which are then modeled using, for example, an inter-field (cross-substrate fingerprint) or intra-field (cross-field fingerprint) model. Within the die, there may be separate functional areas, such as memory areas, logic areas, contact areas, etc. Each different functional area or different functional area type may have a different processing window, each processing window having a different processing window center. For example, different functional area types may have different heights, and thus different optimal focal length settings. Furthermore, different functional area types may have different structural complexity and therefore different focus tolerances (focus process windows) around each optimal focus. However, due to limitations in the control grid resolution, each of these different functional regions will typically be formed using the same focal length (or dose or position, etc.) setting.

Lithography control is typically performed using offline calculations of one or more setpoint corrections for one or more particular control degrees of freedom, based on, for example, measurements of previously formed structures. The setpoint corrections may include corrections for specific process parameters and may include corrections for settings of specific degrees of freedom to compensate for any drift or error so that the measured process parameters remain within specification (e.g., within an allowable deviation from an optimal setpoint or optimal value; e.g., OPW or process window). For example, one important processing parameter is focus, and focus errors may manifest themselves in defective structures formed on the substrate. In a typical focus control loop, a focus feedback method may be used. Such a method may include a metrology step that can measure the focal length setting used on the formed structure; for example, by using a diffraction-based focal length (DBF) technique in which a target is formed with a focal length dependent asymmetry so that the focal length setting can then be determined by measuring the asymmetry on the target. The measured focus setting can then be used to determine a correction for the lithographic process off-line; for example, position correction of one or both of the reticle or substrate table to correct focus offset (defocus). This off-line position correction can then be transmitted to the scanner as a setpoint best focus correction for direct actuation by the scanner. Measurements may be taken over multiple batches, with the average (over multiple batches) best focus correction applied to each substrate of one or more subsequent batches.

Fig. 5 illustrates such a method. The method shows product information 605, such as product layout, illumination mode, product micro-topography, etc., and metrology data 610 (e.g., defocus data or overlay data measured from previously produced substrates) is fed to an offline processing device 615, which offline processing device 615 executes an optimization algorithm 620. The output of the optimization algorithm 620 is one or more setpoint corrections/offsets 625, e.g., actuators (in any direction, i.e., in the x, y, and/or z directions, where x and y are substrate plane directions, and z is perpendicular to x and y) for controlling the positioning of the reticle and/or substrate tables within the scanner 635; the setpoint correction 625 is calculated to compensate for any offset/error (e.g., defocus, dose, or overlay offset/error) contained within the metrology data 610. The control algorithm 640 (e.g., a leveling algorithm) uses the substrate specific metrology data 650 to calculate the control set point 645. For example, leveling data (e.g., a wafer height map) can be used to calculate a leveling exposure trajectory (e.g., to determine a relative movement or acceleration profile of the substrate table with respect to the reticle stage's positioning during a lithographic process), and to output a position setpoint 645 for the scanner actuator. The scanner 635 also directly applies the setpoint corrections 625 to the calculated setpoints 645 for each substrate.

Optimization algorithms within scanners are currently based on many different evaluation functions, one for each control scheme. Therefore, in the above example, the leveling (or focal length) evaluation function is used for focal length control (scanner z direction control), which is different from the overlay (scanner x/y direction control) evaluation function, the lens aberration correction evaluation function, and the like. Thus, there are many different evaluation functions that perform different optimizations in the same manufacturing process. However, control in this manner limits flexibility because it cannot take into account all control factors for each control scheme, nor can it use all control parameters. This may result in sub-optimal or even contradictory control strategies for different control schemes of a single process.

Scanner optimization has three main independent dimensions:

one or more functional (physical) indicators (e.g., functional key performance indicators, KPIs);

one or more statistical (die yield) indicators (statistical KPIs); and

calibration potential (range and freedom of scanner (and e.g. etcher) "knobs" or control parameters)

Regarding functional aspects, these may include a "per-point index". Examples for leveling or focus optimization in the z direction (e.g., perpendicular to the substrate plane) may relate to time Moving Average (MA) to time Moving Standard Deviation (MSD), and more particularly to Root Mean Square (RMS) optimization of these metrics. The time-Moving Average (MA) error and the time-Moving Standard Deviation (MSD) of the errors of the lithography phase are related to a critical time window that includes the time interval during which each point on the die is exposed, in other words, receives photons. If the average position error of a certain point on the die is high during this time interval (in other words: high MA error), the effect is a shift of the exposure image, resulting in focus and/or overlay errors. If the standard deviation of the position error is high (in other words: high MSD error) within the time interval, smearing of the image may occur, resulting in fading errors. In another example, the KPI typically used for overlay optimization is MA, e.g., 1MA based on optimization (typically (in x and y directions))XAnd 1MAY

With respect to statistical (e.g., per die) aspects, this is typically a KPI that indicates die yield. Currently, as just mentioned, RMS optimization is used for leveling. Other statistical measures currently in use include maximizing the minimum process window margin per die for process window optimization. Furthermore, there are many variations based on these aspects, such as minimizing the maximum absolute error per point, minimizing the maximum absolute error per die, maximizing the minimum local processing window margin (per superpixel or per die).

The use of different merit functions for each control scheme creates a limitation on control. For example, a ratio of 1 (equal weight) is typically imposed between MA and MSD, which is not necessarily optimal. To use the specific illustrative example, the leveling optimization merit function (z-direction exposure track control) currently optimizes MAZ 2+MSDZ 2. This is not necessarily optimal for a number of reasons. First, in such an evaluation function, there are many control aspects that may be underestimated. For example, the effect of MSD on image contrast (e.g., line width roughness LWR) may be underestimated (or ignored altogether), and furthermore, the MSD effect depends on many other relevant MSD contributors, which may also be underestimated or ignored. In addition, the precondition (sensitivity) depends at least on the characteristic.

Similarly, overlay optimization (x and y direction exposure track control) is currently typically based on MAXAnd MAYOptimizing the merit function, which represents MSDXAnd MSDYThe effect is ignored. However, MSDX/MSDYBoth CD and CDU can be affected, as well as imaging contrast (e.g., LWR), both of which can affect patterning (or imaging).

Therefore, it is proposed to use a common evaluation function in the optimization for each control scheme. In order to make the evaluation functions of all relevant control schemes common, the control potentials (e.g. drive potentials or available control parameters/knobs) and the KPIs (statistics and functions) they should optimize should be considered. The control scheme may include any two or more of the following: control of exposure trajectories in each of the x, y and z, Rx, Ry, Rz directions (relative stage control between reticle stage and substrate stage), lens aberration correction, dose control, laser bandwidth control (for source laser), illumination (pupil) control.

It is further proposed that a suitable function KPI for evaluating the function is an Edge Position Error (EPE). EPE here is the combined error caused by global CDU and local CDU (e.g., LER/LWR) and overlay error. It is these parameters that have the greatest effect on yield because it is the error in these parameters that affects the relative positioning of the features and whether any two features are inadvertently touched or inadvertently fail to touch.

In one embodiment, the merit function will include co-optimization of parameters related to EPE, and more specifically, overlap, CD, and LWR/LER. Such parameters may include, for example, the following parameter MAX、MAY、MAZ、MSDX、MSDY、MSDZSome or all of the aberrations and dose.

The merit function parameters may also include lens aberration parameters, such as any suitable Zernike Process Correction (ZPC) or lithography metrology (lithometric). This can be achieved by having a product specific offset or target within the merit function. These shifts can be implemented for aberrations (e.g., ZPC) or dose, focal length, etc. Product-specific tuning of parameters or weights in the scanner merit function (otherwise they assume default values) because aberrations are sometimes referred to as lithographic metrology. The lithographic metric may be any lithographic performance parameter of a particular structure in the field that generally depends linearly (although it may be, for example, second order) on a variation within a reasonable range of Zernike values. This can be described as:

whereinIs a specific slit positionThe value of the lithographic metric at (a). It is toIs measured by lithography(assuming constant across the slit). Apart from lens aberration, constantAll other factors are also included. Typical lithographic metrics are CD asymmetry, best focus and pattern position. Each lithography metric may be assigned a weight (e.g., between 0 and 5) when optimized using other parameters in the merit function. In one embodiment, the lithographic metrology can include wavefront targets, linear and secondary Zernike sensitivities, linear and secondary MSDsX、MSDY、MSDZAnd dose sensitivity; each of which may be different at each slit location.

More specifically, the merit function may include an overlap term MAX、MAYFocal length term MAZDose term D, aberration term Zi(i.e., different Zernike terms), platform contrast term MSDX、MSDY、MSDZAnd CD and LWR entries (MSD)2 X、MSD2 Y、MSD2 Z) Combinations of (a) and (b). Thus, a purely exemplary merit function M intended to minimize EPE may take the form:

M=a1MAx+a3MSDx+a4MSD2 x+b1MAy+b3MSDy+b4MSD2 y+c2MAz 2+c3MSDz

+c4MSD2 z+d1D+Σie1iZi+e2iZi 2

in addition, laser bandwidth or pupil control terms may be added.

Thus, the proposed merit function can jointly optimize two or more of these terms in order to jointly optimize two or more relevant performance indicators, such as focal length, dose, overlap, CD, LWR, and lens aberration control parameters. This optimization may take into account all degrees of freedom (actuation and control) of the scanner to optimize any error based on all available input data. Such co-optimization is beneficial compared to currently performed individual (e.g., focus, dose, aberration, overlay) optimizations, as not all errors are independent or individually correctable, and different optimizations may require conflicting corrections. For example, optimization of the focal length over the whole slit is limited because the "slit cannot bend", i.e. the focal length cannot vary directly non-linearly over the whole slit by a non-linear variation of the distance between the reticle and the substrate table. However, in a co-optimization strategy, some of the benefits of direct focal length control over the entire slit can be achieved by varying the dose over the entire slit and/or by projection lens optics (e.g., lens manipulator), for example, to optimize EPE (or CD). This effectively provides additional control parameters that would otherwise be unavailable. For example, one particular application for this is to improve correction of edge roll-off Error (ERO) at edge dies. Co-optimization of focus and dose, and thus the use of dose control as a control parameter in the optimization, enables better correction of ERO. Other examples may include adding lens (FC) correction potential to leveling optimization or extending from per field lens correction to scan lens correction.

As previously mentioned, the co-optimization may also include lens control to compensate for lens aberrations. Lens control can be implemented as an optimized sub-recipe associated with a desired lens aberration balance. The optimization sub-recipe may be based on knowledge of the critical product structure and its tolerance with respect to individual aberration components (Zernikes). The scanner can measure the lens aberration profile of each substrate and use it as a further input in a co-optimization that also uses lens control as a further degree of freedom. Scanner optimization for lens control based on optimized formulations is described in WO2009/148976 (incorporated herein by reference in its entirety).

Although it is proposed to use the same evaluation function for all control schemes, it should be understood that not all parameters are associated with each control scheme. Thus, for certain control schemes and control actions, one or more parameters that are not related to the scheme may be given a zero weight in the optimization. Of course, not all relevance terms and error causes/sources are currently known and still being discovered. Thus, parameters currently considered suitable for zero weighting in a particular control scheme may later be found to be relevant (particularly as accuracy and tolerances increase). If a hitherto unknown correlation is found or questioned, the current common evaluation function will make it simple to implement and/or use different weights for the parameter in the control scheme.

The type of optimization (statistical KPI) may also be improved from those currently used (e.g., RMS). For example, the optimization may include a "specification die" optimization. This is intended to maximize the number of dies that meet specifications, rather than applying an average optimization over the entire substrate (e.g., least squares optimization based on least squares minimization of the focus difference from the best focus over the entire substrate). Thus, "on-specification die" optimization uses a priori knowledge of the product (die layout) in optimizing the processing parameters. Least squares optimization generally treats each location equally regardless of die layout. Thus, least squares optimization may prefer corrections that are "only" with four off-spec locations but each location in a different die, rather than having seven off-spec locations but only affecting two dies (e.g., four defects in one die and three defects in another die). However, because a single defect tends to cause a die to fail, maximizing the number of non-defective dies (i.e., conforming dies) is ultimately more important than simply minimizing the number of defects per substrate. It should be appreciated that the specification-compliant die optimization may include a maximum absolute value (max abs) optimized per die. This max abs optimization may minimize the maximum deviation of the performance parameter from the control target. Alternatively, a differentiable approximation of the max abs function may be used, making it easier to solve the cost function. For this to be effective, details such as wafer maps should be used in the optimization.

In one embodiment, in-specification die optimization may be further improved by using a "failed die" database. This database is dynamically maintained and records all cases in which a die is deemed to have or is estimated to have at least one defect (e.g., using previous yield data, data from other lithographic processes, and/or defocus estimation maps) so that it is deemed to be failed (defective). Such failed die may then be further sacrificed in optimization. This can be achieved by attributing a very large or infinite processing window to a failed die, such processing window exceeding the practical limits of its proper functioning. By increasing the likelihood of more defects in a failed die, greater flexibility may be provided for optimization or control of other dies. This may therefore reduce the likelihood of defects occurring in another die in the optimization of the same or successive layers, thereby further maximizing the number of in-specification dies.

Another (secondary) statistical indicator may be a balance in the optimization that balances the consideration of some dies with respect to all dies on the substrate. Of course, partial dies never increase yield because they are incomplete. Thus, intuitively, they may be considered negligible. However, this may have a negative impact on the complete die, since a long way to allow parameters to deviate from specification may affect neighboring (complete) dies. At the same time, it makes sense to consider the partial die less as a complete die. Similar (or identical) balancing may be done for dies in the failed die database (where appropriate); for example, a failed die may be given the same (or other appropriate) weight (relative to a valid full die) as a partial die, rather than being ignored altogether.

In addition to maximizing the number of in-specification dies, in one embodiment, the in-specification die optimization may be further refined by performing a process window optimization for each substrate or layer that maximizes the process window margin (the degree to which the focal length or other process parameter is within specification). This may include estimating maps and product layout information (e.g., BF and DoF maps) using parameter values (e.g., defocus). The defocus estimate map can be obtained by computational metrology (e.g., lithography process modeling). The computational metrology process window optimization can use these maps to maximize the margin of a modeled parameter value (e.g., focal length) relative to a corresponding process window (e.g., depth of focal length) and/or minimize the difference between the modeled parameter value relative to a corresponding optimal parameter value (e.g., optimal focal length). Thus, the method may comprise maximizing over an optimization space a) the minimum distance between a) the local offset of the above-mentioned performance parameter with respect to the corresponding optimal parameter value (or other control target value) and b) the local edge of the corresponding allowed variation space.

As a specific example, the focus and overlap merit function terms in the merit function example may BE tailored to include one or more processing window tracking terms (more specifically, one or more of the best focus BF, best dose BE, and wavefront target WT terms) as corrections to the measurement terms; for example:

M=a1(MAx-a01[MAz-BF0])+a3MSDx+a4MSD2 x+b1(MAy-b01[MAz-BF0])

+b3MSDy+b4MSD2 y+c2(MAz-[BF0+c03(D-BE0)])2+c3MSDz

+c4MSD2 z+d1(D-BE0)+Σie1i(Zi-WTi)+e2i(Zi-WTi)2

the specification-compliant die optimization may include an iterative process in which first estimated residuals (possibly including a least squares fit) are calculated, and based thereon, the likelihood of defects caused by each residual is calculated. The maximum defect likelihood for each die is calculated and the number of dies that may have defects is determined. Then, in multiple iterations of restarting the residual calculation, the relevant parameters are changed to minimize the number of dies that may have defects.

Complete specification-compliant die optimization can be computationally demanding and take a long time to achieve. To speed up this process, one embodiment may include per-row max abs (e.g., slit) approximations rather than full dynamic max abs optimization. The influence in the x-direction tends to dominate and the slit length is short. Therefore, it is proposed to perform a series of max-abs optimizations only in the slit direction to obtain a series of (static) max-abs optimizations during scanning, which can then be smoothed; for example, low pass (slit or lens setpoint velocity) filtering on the resulting static setpoint.

In one embodiment, the optimization may be a high order optimization, for example to optimize EPE4In order to better emphasize the weaknesses. The pattern position error PPE entry may be included to stabilize the overlay, e.g., to balance EPE with overlay.

The optimization can be a lumped merit function for all structures on a layer (e.g., all segments and cut lines) and for each layer aggregated across the entire process window (e.g., by focal length, dose, aberration, and reticle writing error RWE). Thus, the evaluation function may take the following form

Wherein EPEiMay be described by any merit function described or explicitly referenced herein.

Fig. 6 shows a practical inline implementation of the above concept. Fig. 6(a) shows a basic arrangement of aspects of the modules, and fig. 6(b) is a flowchart describing an exemplary process control method. In inline implementations, optimization is primarily based on inline metrology, such as scanner metrology (pre-exposure metrology). Such scanner measurements may include, for example, alignment and/or leveling measurements. Such inline metrology is performed for each substrate, and thus optimization may be substrate specific.

In fig. 6(a), the edge placement control module EPCM receives metrology data MET (including any suitable metrology data, including scatter data (e.g., DBO, DBF data), CD-SEM data, etc. the edge placement control module generates optimization data including an optimized sub-recipe OMS for weighting evaluation functions within the scanner, the optimization data OMS may include, for example, one or more of die layout information, failed die data (e.g., failed die maps will be described in more detail below), optimal setting data (including estimates or known optimal settings of performance parameters) (e.g., in the form of an optimal setting map), critical data (e.g., an allowed process parameter variation space, such as a process window, which may or may not be associated with the optimal setting map), modeled (estimated) values of performance parameters (e.g., estimated map), or an expected Moving Average (MA) to time Moving Standard Deviation (MSD) balance ratio (MA: MSD) (this will be described below). It should be noted that this list is not exhaustive.

Metrology data may include post-development inspection (ADI) data and/or post-etch inspection (AEI) data. Metrology data MET may include overlay data OV, focus data F, aberration data AB and dose data E. In each case, the metrology data may have had "scanner content" removed, e.g., it has been corrected to remove metrology components that have been corrected (e.g., horizontal components, alignment components, etc.), where appropriate. This ensures that these corrections are not performed twice. Such de-corrected metrology and/or estimates based thereon (e.g., describing the best estimates of the parameter values on the substrate) are fed forward (e.g., through the corresponding control (offset) interfaces OVI, FI, ABI, EI) to the scanner SC. The scanner comprises a plurality of optimizers (e.g. optimized within different control ranges), more particularly an overlapping exposure track optimizer ETXYLeveling exposure track optimizer ETZAn aberration optimizer AO, a dose optimizer EO and a laser bandwidth optimizer LBW. Each (or at least some) of these optimizers implements the same merit function and optimizes control of one or more actuators based on inline (scanner) metrology SMET (first metrology data). The actuators shown in this example include a wafer stage actuator WS, a lens actuator LeA, a dose actuator EA, and a laser actuator LaA.

Fig. 6(a) also shows a per-layer (setup) optimization module OM that receives the layer design information LD and outputs tuning data TUN including specific features and/or layer sensitivities, best focus/dose values, wavefront targets, etc. to the scanner (e.g., via the tuning interface TI) to tune the merit function in each optimization.

Fig. 6(b) shows an arrangement in terms of the process flow. Measurements are performed 700 to determine measurement data MET. The metrology data may be computationally enhanced 705 (optionally) using scanner residual data to determine a high-definition computational metrology map CMP. The edge placement control step 710 may include a de-correction step 715 and an estimation step 720, the de-correction step 715 for removing one or more correction components that have been corrected, the estimation step 720 providing the best estimate of what is actually on the wafer (e.g., values across the entire wafer). The output of the estimation step 720 is optimization data including an optimized sub-recipe OPS (e.g., metrology offset fingerprint). These optimized sub-recipes OPS along with scanner metrology SMET (obtained from scanner metrology action 725) are used in an optimization step 730 in the scanner SC (e.g., EPE optimization using the merit functions described herein). The merit function used in the optimization step may be tuned based on tuning data TUN describing the specific features and/or layer sensitivities, which tuning data TUN is obtained from the performance of the lithography simulation step SIM.

Based on the results of the optimization step 730, one or more actuation steps 735 are performed to actuate the calculated corrections as best as possible. Based on this actuation, a scanner residual RS is calculated, which is used as an input to the improved metrology 700 and/or calculated metrology 705 results, and as an input to the de-correction step 715 (e.g., for subtraction from the metrology map CMP). The on-wafer performance data OWP (based on the actuation step 735) is fed back to the measurement step 700.

In one embodiment, the scanner metrology data SMET may model and/or filter the metrology data. In one embodiment, the scanner metrology data can include a continuous substrate map or a Continuous Wafer Map (CWM). The continuous wafer map may include a model that corrects for one or more of: sensor noise, sensor calibration drift, sensor data filtering artifacts, sparse sampling limits, and/or finite sensor spot size in a sampled substrate map that maps process parameter variations across the substrate. In some systems, the CWM is currently maintained offline. The CWM can be maintained within the scanner and updated in real time (e.g., every substrate update).

There are many specific control strategies, particularly co-optimized control strategies, which can be illustrated by the arrangement and process described in fig. 6. For example, in a co-optimized focus and dose control strategy, metrology data MET may include measured focus data and dose related data based on diffraction-based focus targets and CD metrology. This can be converted 705 to a high density focal length and CD map CMP. After the recalibration step 715 and the estimation step 720, the optimization step 730 uses the sub-recipe OPS in the EPE optimization 730 based on the scanner metrology data SMET. For example, the scanner metrology data SMET may include leveling data, dose sensor data, and lens aberration data. EPE optimization 730 is a co-optimization of the combined focal length and dose (and possibly lens aberrations and/or any other parameters); that is, when direct focus control is not possible, the focus can be effectively controlled by dose control.

Additionally, in another embodiment, the scanner may use one or more of the optimized recipe, leveling data (wafer map), and alignment data to collectively optimize focus, dose, and overlay to optimize EPE. Other scanner parameters may be included in the co-optimization such as Zernike aberration control/lithography metrology control, jones pupil beyond aberrations, laser bandwidth, contrast from the stage MSDxyz, and lens element motion. Co-optimization may also include any combination of two or more of these parameters. Thus, certain exemplary co-optimization strategies may include overlay wafer stage MA/MSD optimization, overlay/focus (non-telecentric) optimization, focus/dose/MSDzOptimization, overlap/focus/dose/MSDxyzOptimization, or overlap/focus/dose/MSDxyzLaser bandwidth/wavelength optimization. In any of these cases, lens optimization may also be performed, for example, as a lithographic metrology sub-recipe (but still as part of a single optimization).

In many cases, a single lumped (e.g., average) sensitivity is sufficient when jointly optimized for a single feature. However, in some cases (e.g., focus/dose co-optimization), better results may be obtained using sensitivity profiles from multiple features, for example, to balance sensitivity. Using the specific example of focus/dose co-optimization, and considering a plot of focus sensitivity versus dose sensitivity, the angle of any line from the origin represents a different ratio of the two sensitivities. In principle, the sensitivity set to be balanced should include the farthest point for each angle. The approximation algorithm may be based on a convex envelope (continuous or sampled) of sensitivities defined by linking points representing the farthest processing window limiting features, such that the envelope encloses at least all processing window limiting features. A balance may then be made based on a combined (e.g., summed) merit function for each of these features.

In another embodiment, the focal length and overlap are jointly optimized. Due to various factors, the substrate may be exposed in a non-telecentric manner; for example, the center of gravity of the light distribution in a pupil plane of the optics of the lithographic apparatus is not perfectly centered. This results in a focus dependent pattern shift of the (product) features that need to be provided to the substrate. For example, a non-telecentricity of 10mrad will produce a pattern shift of 0.1nm per 10nm focus shift. If one wants to correct a known overlay error of 0.2nm on a system with a telecentricity error of 15mrad, the overlay error can be corrected at least partially by changing the (optimal) focus setting such that a part of the overlay error is compensated. In this case, a focus offset of 14nm is sufficient to compensate for the observed or expected 0.2nm overlay error. However, overlay error is not the only driver behind lithographic processing performance. In view of imaging quality, strong deviation of the focal length from the optimal focal length setting can lead to a decrease in imaging contrast; there is a need to carefully balance both overlay improvement and image contrast degradation based on expected feature-specific overall EPE improvement. In one embodiment, substrate height variation data, overlay data, and telecentricity data are available. Correcting overlay error and substrate height variation using jointly optimized focus and overlay control such that the desired EPE is optimized; for example, the focus is at least partially controlled such that overlay errors are partially compensated due to the interaction of focus offset and non-telecentricity of the lithographic apparatus.

Thus, disclosed herein is the use of focal length, dose, overlap or other scanner parameters or combinations thereof to determine a product-specific scanner optimized Sub-recipe (Sub-recipe) (offline) and use it to determine a performance-based scanner optimization algorithm based on a common merit function for all control schemes that allows input from the optimized Sub-recipe and that can be calculated for each substrate or each layer based on substrate-specific metrology.

In addition to the inline scanner control (feed forward) case already described and illustrated in fig. 6, an offline (feed back) implementation is also possible. In such an off-line implementation (see fig. 6(b)), the main difference is that the EPE co-optimization step 730 will be performed as part of the edge placement control step 710 (outside the scanner SC) based on (e.g., batch-averaged) metrology data in order to determine sub-recipes from actuator offsets for the actuation step 735. Actuation step 735 will use these offsets in conjunction with more standard (individual) focus and dose optimization based on scanner-measured SMET.

In one embodiment, tuning optimization recipes (optimization data) may include some or all of the following (non-exhaustive):

best parameter values or set point maps for each field (or die). This may include, for example, a best focus map (where the processing parameter(s) include focus) and/or a best energy map (where the processing parameter(s) include dose).

The allowable variation space (e.g., allowable variation range or process window) of the process parameters for each point in the field (or die). This may include, for example, a depth of focus map and/or an exposure latitude map.

A set of optimization balance definitions/weights, which may include, for example: lithography metrology equations for lens aberration impact balancing, leveling MA, such as described in WO2009/148976 (incorporated herein by reference): MSD ratio (z direction), overlap and imaging MA: mstxy, and/or part: the total die weight.

Furthermore, the optimization data may comprise reference data. The reference data may more specifically comprise estimated/modeled data, such as an estimated map from metrology data, e.g. an estimated focus map or an estimated effective dose map (CD divided by dose sensitivity). The optimization data may also include per-layer data (e.g., die layout data and/or failed die data).

The advantage of this approach is that the product specific information is not directly input to the scanner, but only to the offline processing equipment, which uses the information to determine the optimized sub-recipe. This optimized sub-recipe is fairly neutral to sensitive product specific information, which is essentially hidden from the scanner. This would be advantageous to parties who may wish to ensure that certain information of such information products is kept secret.

Further embodiments of the invention are disclosed in the following list of numbered clauses:

1. a method for controlling a lithographic apparatus configured to provide a product structure to a substrate in a lithographic process, the method comprising:

obtaining first metrology data relating to the substrate; and

optimizing control of the lithographic apparatus in different at least two control schemes during the lithographic process based on the metrology data by optimizing a common merit function for each control scheme.

2. The method of clause 1, wherein the first metrology data comprises substrate-specific metrology data measured and/or modeled prior to the providing of the product structure to the substrate, the substrate-specific metrology data comprising metrology data relating to a characteristic of the substrate to which the structure is applied and/or a state of the lithographic apparatus at a time when the structure is applied to the substrate.

3. The method of clause 2, wherein the substrate-specific metrology data describes one or more of: a characteristic of the substrate or a reticle used to apply the product structure to the substrate; defining characteristics of a patterning device of a device pattern to be applied to the substrate; a position of one or both of a substrate table to hold the substrate and a reticle table to hold a patterning device; or a characteristic of a radiation system that provides a beam of radiation for transferring the pattern on the patterning device to the substrate.

4. The method according to clause 2 or 3, wherein the optimization control step is performed individually for each substrate provided with the product structure based on substrate-specific metrology data corresponding to the substrate.

5. The method of any of clauses 2-4, wherein the optimizing step is performed within the lithographic apparatus for at least a subset of the two or more control schemes.

6. The method of any of clauses 2-5, wherein the first metrology data comprises leveling data describing a shape of the substrate, and the one or more control schemes comprise control of one or both of a substrate table and a reticle stage included within the lithographic apparatus parallel to a substrate plane and control of one or both of the substrate table and the reticle stage perpendicular to the substrate plane.

7. The method of clause 1, wherein the first metrology data comprises average metrology data averaged over a plurality of substrates, and the optimizing step is performed based on the average metrology data to determine a sub-recipe from actuator offsets, the sub-recipe being forwarded to the lithographic apparatus for use in one or more further optimizations.

8. The method of any preceding clause, wherein the control scheme comprises two or more of: exposure track control in each of the x, y and z directions, lens aberration correction, dose control, and laser bandwidth control of a source laser for the lithographic apparatus.

9. The method of any preceding clause, wherein the merit function is operable to minimize edge position errors.

10. The method of clause 9, wherein the merit function is operable to minimize edge position error to a power of at least 4.

11. The method of any preceding clause, wherein the merit function further comprises a pattern position error PPE term to balance solutions for overlay.

12. The method of any preceding clause, wherein the merit function comprises terms relating to at least two of: overlay control, focus control, and dose control.

13. The method of any preceding clause, wherein the merit function comprises terms relating to at least two of: overlay control, focus control, dose control, aberration control, contrast control, and source laser output control.

14. The method of any preceding clause, wherein the merit function comprises terms relating to at least four of: overlay control, focus control, dose control, aberration control, contrast control, and source laser output control.

15. The method of clause 12, 13 or 14, wherein the items comprise at least some of the following: MSDX、MSDY、MSDZ、MAX、MAY、MAZ、MSD2 X、MSD2 Y MSD2 ZOne or more Zernike aberration terms ZiA laser bandwidth term and a laser wavelength term.

16. The method of any preceding clause, wherein the optimizing step comprises: for each control scheme, a zero weight is applied to any term of the merit function that is not related to the control scheme.

17. The method of any preceding clause, wherein the evaluation function comprises a lumped evaluation function for all structures on the layer and for each layer aggregated throughout the entire processing window.

18. The method according to any preceding clause, wherein the merit function is configured in accordance with optimization data comprising measured and/or simulated data of at least one performance parameter associated with the product structure and/or arrangement of the product structures to be applied to the substrate in the lithographic process.

19. The method of clause 18, including the step of decorrelating the optimization data to remove components that have been corrected.

20. The method of clause 18 or 19, wherein the optimization data comprises failed die data indicating which dies are deemed to be inoperative because of the estimated presence of the at least one defect in the at least one layer of dies.

21. The method according to any of clauses 18 to 20, wherein the optimization data comprises optimal parameter value data relating to one or more of the at least one performance parameter, the control target values being derived from or defined by the optimal parameter value data.

22. The method of clause 21, wherein the optimal parameter value data comprises an optimal focus map describing optimal focus settings across the field and/or across the die.

23. The method of clause 22, wherein the best focus map comprises micro-topology data describing expected height variations within a die according to related product layout data.

24. The method of clauses 21, 22 or 23, wherein the optimal parameter value data comprises an optimal energy map describing optimal energy settings across the field and/or across the die.

25. The method of any of clauses 18-24, wherein the optimization data includes critical data for one or more of the at least one performance parameter, the critical data defining a space of allowed variation over the entire field and/or over the entire die for the performance parameter, and the optimizing includes maximizing a minimum distance over an optimization space between a) a local offset of the performance parameter relative to a corresponding control target value and b) a local edge of the corresponding space of allowed variation.

26. The method of any of clauses 18-25, wherein the optimization data comprises a preferred ratio of:

moving average line error, and

a time-shifted standard deviation of the error;

the substrate table and/or reticle table and/or lens aberration influence.

27. The method of any of clauses 18-26, wherein the optimization data comprises estimated data of the performance parameter.

28. The method of any of clauses 18-27, wherein the optimization data comprises measurement data relating to measurements of the at least one performance parameter from a product structure previously provided to a substrate.

29. The method of any preceding clause, wherein the optimizing step comprises: minimizing a maximum deviation of the performance parameter from a corresponding control target value, and/or maximizing a distance of the performance parameter from an edge of a corresponding allowed variation space of the performance parameter.

30. The method of clause 29, wherein the optimizing step comprises maximizing the number of die provided on the substrate that is estimated to be within specifications indicating that the die will function.

31. The method of clause 30, wherein the optimizing step comprises maximizing the number of die that do not include defects.

32. The method according to clause 30 or 31, wherein the optimizing step comprises a series of maximum absolute optimizations only in the direction of an exposure slit of the lithographic apparatus for obtaining a series of maximum absolute optimizations during a scan, and low-pass filtering the results of the series of optimizations.

33. The method of any preceding clause, wherein the optimizing step comprises using the optimization data to determine weights for parameters included within the merit function.

34. The method of any preceding clause, wherein the first metrology data comprises substrate height variation data.

35. The method of any preceding clause, wherein the first metrology data comprises modeled and/or filtered metrology data.

36. The method of any preceding clause, wherein the first metrology data comprises a continuous substrate map comprising a model corrected for one or more of: sensor noise, sensor calibration drift, sensor data filtering artifacts, sparse sampling limits, and/or finite sensor spot size in a sampled substrate map that maps process parameter variations across the substrate.

37. The method of any preceding clause, wherein the first metrology data comprises patterning device height variation data.

38. The method of any preceding clause, wherein the first metrology data comprises off-line substrate metrology data.

39. The method of clause 38, wherein the offline first metrology data comprises one or more of micro-topography data, level sensor process-related data, layer thickness distribution data, bulk substrate shape, and substrate bend data.

40. The method of any preceding clause, wherein the first metrology data comprises alignment data relating to the position of one or both of the substrate table and reticle table.

41. The method of any preceding clause, including controlling the lithographic process according to the optimization control.

42. The method of any preceding clause, wherein the lithographic process comprises exposing a single layer on a substrate to form part of a manufacturing process for manufacturing an integrated circuit.

43. A computer program comprising program instructions operable, when run on a suitable apparatus, to perform the method of any of clauses 1 to 42.

44. A non-transitory computer program carrier comprising the computer program according to clause 43.

45. A processing device comprising a storage module comprising a computer program according to clause 43; and

a processor operable to perform the method according to any of clauses 1 to 42 in response to the computer program.

46. A lithographic apparatus configured to provide a product structure to a substrate in a lithographic process, comprising a processing device according to clause 44.

47. The lithographic apparatus of clause 46, further comprising:

a substrate table for holding the substrate;

a reticle stage to hold a patterning device;

a projection lens for projecting the pattern on the patterning device onto the substrate; and

a metrology system operable to measure first metrology data prior to said providing of the product structure to said substrate.

48. The lithographic apparatus of clause 47, comprising a plurality of actuators including one or more actuators for each of the substrate table, reticle stage and projection lens, wherein the actuators are controlled based on an optimization performed according to the computer program.

49. The lithographic apparatus of clause 48, further comprising a laser source module for providing exposure radiation, and the plurality of actuators comprises an actuator for varying an output of the laser source module.

50. A method for controlling a lithographic apparatus configured to provide a product structure to a substrate in a lithographic process, the method comprising:

obtaining first metrology data relating to the substrate; and

optimizing control of the lithographic apparatus in at least two different control schemes during the lithographic process based on the metrology data by optimizing a common merit function for each control scheme, wherein the first metrology data comprises at least substrate height variation data, overlay data and telecentricity data associated with the lithographic apparatus, and wherein the control schemes are overlay control and focus control of the lithographic apparatus.

In the present disclosure, any reference to a processing window may include overlapping processing windows and/or N-dimensional processing windows as described (e.g., an axis may include focus, dose, overlap, contrast, etc.). In one embodiment, process window tracking may be employed. This includes locally limiting one (or more) of the processing window axes to move the setpoint of another axis or axes. In all cases, the process window (or more generally, the critical metrology) may be determined from product information or reticle design information (relating to the structure being exposed) and/or simulated design information to determine process window information.

The terms "radiation" and "beam" used in connection with the lithographic apparatus encompass all types of electromagnetic radiation, including Ultraviolet (UV) radiation (e.g. having a wavelength of or about 365, 355, 248, 193, 157 or 126nm) and extreme ultra-violet (EUV) radiation (e.g. having a wavelength in the range of 5-20 nm), as well as particle beams, such as ion beams or electron beams.

The term "lens", where the context allows, may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components.

The foregoing description of the specific embodiments will so fully reveal the general nature of the invention that others can, by applying knowledge within the skill of the art, readily modify and/or adapt for various applications such specific embodiments, without undue experimentation, without departing from the general concept of the present invention. Therefore, such modifications and adaptations are intended to be within the meaning and range of equivalents of the disclosed embodiments, based on the teaching and guidance presented herein. It is to be understood that the phraseology or terminology herein is for the purpose of description and not of limitation, such that the terminology or phraseology of the present specification is to be interpreted by the skilled artisan in light of the teachings and guidance.

The breadth and scope of the present invention should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims appended hereto and their equivalents.

26页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:光学装置和光刻设备

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类