Densifying a silicon carbide film using remote plasma treatment

文档序号:1891922 发布日期:2021-11-26 浏览:19次 中文

阅读说明:本技术 使用远程等离子体处理使碳化硅膜致密化 (Densifying a silicon carbide film using remote plasma treatment ) 是由 巴德里·N·瓦拉达拉简 龚波 袁光璧 桂喆 赖锋源 于 2017-11-30 设计创作,主要内容包括:提供了使用远程等离子体处理使碳化硅膜致密化的方法和装置。远程等离子体沉积和远程等离子体处理碳化硅膜的操作交替发生以控制膜密度。沉积第一厚度的碳化硅膜,然后进行远程等离子体处理,并且然后沉积第二厚度的碳化硅膜,然后进行另一次远程等离子体处理。远程等离子体处理可以使处于实质上低能量状态的源气的自由基(例如处于基态的氢自由基)朝向沉积在衬底上的碳化硅膜流动。处于实质上低能态的源气的自由基促进碳化硅膜中的交联和膜致密化。(Methods and apparatus for densifying a silicon carbide film using remote plasma processing are provided. Remote plasma deposition and remote plasma treatment of the silicon carbide film occur alternately to control film density. A first thickness of silicon carbide film is deposited, then a remote plasma treatment is performed, and then a second thickness of silicon carbide film is deposited, then another remote plasma treatment is performed. The remote plasma treatment may flow radicals of the source gas in a substantially low energy state (e.g., hydrogen radicals in a ground state) toward a silicon carbide film deposited on the substrate. The free radicals of the source gas in a substantially low energy state promote crosslinking and film densification in the silicon carbide film.)

1. A method of depositing a doped silicon carbide film, the method comprising:

flowing one or more silicon-containing precursors into a reaction chamber, wherein each of the one or more silicon-containing precursors comprises Si-H bonds and/or Si-Si bonds, and Si-C bonds, Si-O bonds, and/or Si-N bonds; and

flowing radicals of a source gas generated from a remote plasma source into the reaction chamber, wherein the radicals are in a substantially low or ground state in an environment adjacent a substrate in the reaction chamber, wherein the radicals react with the one or more silicon-containing precursors in the environment adjacent the substrate to deposit a doped silicon carbide film having a high breakdown voltage and low leakage current on the substrate.

2. The method of claim 1, further comprising:

flowing the source gas into the remote plasma source upstream of the reaction chamber before flowing radicals of the source gas into the reaction chamber; and

generating radicals of the source gas in the remote plasma source, wherein the remote plasma source is configured such that a residence time of the radicals from the remote plasma source to the environment adjacent to the substrate is greater than an energy slow release time of the radicals of the source gas.

3. The method of claim 1, wherein the source gas comprises hydrogen, nitrogen, or a gas containing N-H bonds.

4. The method of claim 1, wherein the radicals of the source gas comprise radicals of hydrogen atoms.

5. The method of claim 4, wherein a substantial portion of the hydrogen atomic radicals are hydrogen atomic radicals in a ground state in the environment adjacent to the substrate.

6. The method of claim 1, wherein a concentration of dopant atoms in the doped silicon carbide film is at least 50% atomic, wherein the dopant atoms comprise one or both of oxygen (O) atoms and nitrogen (N) atoms.

7. The method of claim 1, wherein the one or more silicon-containing precursors comprise a first silicon-containing precursor having Si-O bonds and Si-N bonds and a second silicon-containing precursor having Si-C bonds.

8. The method of claim 1, further comprising:

densifying the doped silicon carbide film by exposing the doped silicon carbide film to a remote hydrogen plasma in the reaction chamber.

9. The method of claim 8, wherein densifying the doped silicon carbide film reduces terminal CH3Radicals, decrease Si-H bonds, and increase cross-linking in the doped silicon carbide film.

10. The method of claim 8, wherein densifying the doped silicon carbide film reduces etch rate, increases etch selectivity to silicon oxide, increases oxygen plasma ashing resistance, and increases thermal stability of the doped silicon carbide film.

11. A method of depositing a silicon carbide film, the method comprising:

(a) flowing one or more silicon-containing precursors into a reaction chamber;

(b) flowing a co-reactant into the reaction chamber concurrently with the one or more silicon-containing precursors, wherein the co-reactant comprises carbon dioxide, carbon monoxide, water, methanol, oxygen, ozone, nitrogen, nitrous oxide, ammonia, methane, diazene, methane, ethane, propane, acetylene, ethylene, diborane, or combinations thereof;

(c) generating a remote hydrogen plasma in the remote plasma source upstream of the reaction chamber; and

(d) exposing the one or more silicon-containing precursors and the co-reactant to the remote hydrogen plasma in an environment adjacent to a substrate to deposit a first layer of a silicon carbide film on the substrate.

12. The method of claim 11, wherein each of the one or more silicon-containing precursors comprises an alkyl group.

13. The method of claim 11, wherein the one or more silicon-containing precursors comprise an alkylsilane, wherein the alkylsilane comprises pentamethyldisilane.

14. The method of claim 11, further comprising:

an inert carrier gas is flowed into the reaction chamber concurrently with the one or more silicon-containing precursors and the co-reactant.

15. The method of claim 11, wherein the one or more silicon-containing precursors comprises a plurality of silicon-containing precursors, wherein the plurality of silicon-containing precursors comprises a siloxane and an alkylsilane.

16. The method of claim 11, wherein the co-reactant flows through the same flow path as the remote hydrogen plasma such that the co-reactant is free-radically ionized.

17. The method of claim 11, further comprising:

densifying the first layer of the silicon carbide film by exposing the first layer of the silicon carbide film to a first remote hydrogen plasma treatment in the reaction chamber.

18. The method of claim 17, further comprising:

depositing a second layer of the silicon carbide film on the substrate by repeating operations (a) - (d) in the reaction chamber; and

densifying the second layer of the silicon carbide film by exposing the second layer of the silicon carbide film to a second remote hydrogen plasma treatment in the reaction chamber.

19. A method of depositing a silicon carbide film, the method comprising:

flowing a plurality of silicon-containing precursors including a primary species and a secondary species into a reaction chamber;

simultaneously flowing a non-hydrogen co-reactant with a plurality of silicon-containing precursors into the reaction chamber; and

flowing atomic hydrogen radicals generated in a remote plasma source into the reaction chamber, wherein the atomic hydrogen radicals react with the non-hydrogen co-reactant and the plurality of silicon-containing precursors in an environment adjacent to the substrate to deposit a silicon carbide film on the substrate.

20. The method of claim 19, wherein the non-hydrogen co-reactant flows through the same flow path as the remote hydrogen plasma such that the non-hydrogen co-reactant is free-radically ionized.

21. The method of claim 19, wherein the non-hydrogen co-reactant flows through the same flow path as the plurality of silicon-containing precursors such that the non-hydrogen co-reactant is not exposed to a plasma.

Technical Field

The present disclosure relates generally to the formation of silicon carbide films, and more particularly to remote plasma deposition and remote plasma treatment for densifying silicon carbide films.

Background

Silicon carbide (SiC) based thin films have unique physical, chemical and mechanical properties and are used in a variety of applications, particularly integrated circuit applications. Species of SiC thin films include oxygen-doped silicon carbide (also referred to as silicon oxycarbide), nitrogen-doped silicon carbide (also referred to as silicon carbonitride), and oxygen and nitrogen-doped silicon carbide (also referred to as silicon oxycarbonitride), and undoped silicon carbide.

Disclosure of Invention

The present disclosure relates to a method of densifying a silicon carbide film. The method comprises the following steps: providing a substrate in a reaction chamber; depositing a silicon carbide film of a first thickness on the substrate; and exposing the first thickness of the silicon carbide film to a remote hydrogen plasma treatment, wherein the first thickness of the silicon carbide film is densified; depositing a second thickness of the silicon carbide film on the first thickness of the silicon carbide film; and exposing the second thickness of the silicon carbide film to a remote hydrogen plasma treatment, wherein the second thickness of the silicon carbide film is densified.

In some implementations, each of the first thickness and the second thickness is between aboutAnd the combinationIn the meantime. In some implementations, depositing the first thickness of the silicon carbide film includes: (a) flowing one or more silicon-containing precursors into the reaction chamber; and (b) flowing one or more hydrogen radicals generated from a remote plasma source to react with the one or more silicon-containing precursors for a first period of time, wherein depositing the second thickness of the silicon carbide film comprises repeating operations (a) and (b) for a second period of time. In some implementations, each of the one or more silicon-containing precursors has (i) one or more silicon-hydrogen bonds and/or silicon-silicon bonds, and (ii) one or more silicon-carbon bonds, silicon-nitrogen bonds, and/or silicon-oxygen bonds. In some implementations, each of the one or more silicon-containing precursors is selected from: cyclic siloxanes, linear siloxanes, alkoxysilanes, alkylsilanes, and silazanes. In some implementations, at least 90% of the hydrogen radicals are ground-state hydrogen radicals. In some implementations, wherein exposing the first thickness of the silicon carbide film to a remote hydrogen plasma treatment comprises: (c) flowing a hydrogen source gas into a remote plasma source; (d) flowing an inert gas with the hydrogen source gas; (e) generating hydrogen radicals in a remote plasma source from the hydrogen source gas; and (f) flowing the hydrogen radicals to the first thickness of the silicon carbide film, wherein exposing the second thickness of the silicon carbide film to a remote hydrogen plasma treatment comprises repeating operations (c) through (f) on the second thickness of the silicon carbide film. In some implementations, the inert gas is helium, and a hydrogen source gas in the helium has a hydrogen concentration of 1-10%. In some implementations, exposing the first thickness of the silicon carbide film to a remote hydrogen plasma process further includes: (g) flowing a co-reactant gas with the source gas, wherein the co-reactant gas isThe reaction gas comprises oxygen (O)2) Nitrogen (N)2) Carbon dioxide (CO)2) Carbon monoxide (CO), water (H)2O), methanol (CH)3OH), ozone (O)3) Nitrous oxide (N)2O), ammonia (NH)3) Diazene (N)2H2) Methane (CH)4) Ethane (C)2H6) Acetylene (C)2H2) Ethylene (C)2H4) Diborane (B)2H6) Or a combination thereof, wherein exposing the second thickness of the silicon carbide film to a remote hydrogen plasma treatment further comprises repeating operation (g) for the second thickness of the silicon carbide film. In some implementations, the pressure in the reaction chamber is between about 0.2 torr and about 5 torr. In some implementations, exposing the first thickness of the silicon carbide film to a remote hydrogen plasma treatment is for a duration of between about 5 seconds and about 50 seconds, and exposing the second thickness of the silicon carbide film to a remote hydrogen plasma treatment is for a duration of between about 5 seconds and about 50 seconds.

The present disclosure also relates to an apparatus for densifying a silicon carbide film. The apparatus includes a reaction chamber, a plasma source remote from the reaction chamber, a substrate support for holding a substrate in the reaction chamber, and a controller configured with instructions for: providing a substrate in a reaction chamber; depositing a silicon carbide film of a first thickness on the substrate; and exposing the first thickness of the silicon carbide film to a remote hydrogen plasma treatment, wherein the first thickness of the silicon carbide film is densified; depositing a second thickness of the silicon carbide film on the first thickness of the silicon carbide film; and exposing the second thickness of the silicon carbide film to a remote hydrogen plasma treatment, wherein the second thickness of the silicon carbide film is densified.

In some implementations, each of the first thickness and the second thickness is between aboutAnd the combinationIn the meantime. In some implementations, depositing the first thickness of the silicon carbide film includes: (a) flowing one or more silicon-containing precursors into the reaction chamber; and (b) flowing one or more hydrogen radicals generated from a remote plasma source to react with the one or more silicon-containing precursors for a first period of time, wherein depositing the second thickness of the silicon carbide film comprises repeating operations (a) and (b) for a second period of time. In some implementations, at least 90% of the hydrogen radicals are ground-state hydrogen radicals. In some implementations, wherein exposing the first thickness of the silicon carbide film to a remote hydrogen plasma treatment comprises: (c) flowing a hydrogen source gas into a remote plasma source; (d) flowing an inert gas with the hydrogen source gas; (e) generating hydrogen radicals in a remote plasma source from the hydrogen source gas; and (f) flowing the hydrogen radicals to the first thickness of the silicon carbide film, wherein exposing the second thickness of the silicon carbide film to a remote hydrogen plasma treatment comprises repeating operations (c) through (f) on the second thickness of the silicon carbide film. In some implementations, the inert gas is helium, and a hydrogen source gas in the helium has a hydrogen concentration of 1-10%. In some implementations, the pressure in the reaction chamber is between about 0.2 torr and about 5 torr.

These and other embodiments are further described below with reference to the accompanying drawings.

Drawings

Fig. 1A shows a cross-section of an exemplary silicon carbide film deposited on a substrate.

Fig. 1B shows a vertical structure of silicon carbide on the sidewalls of the gate electrode structure of the transistor.

Figure 1C shows a vertical structure of silicon carbide on the exposed sidewalls of the copper lines in an air gap type metallization layer.

FIG. 1D shows a silicon carbide pore sealant for porous dielectric materials.

Fig. 2 illustrates an embodiment of a representative caged silane precursor.

Fig. 3 shows a schematic view of an arrangement with a remote plasma source.

Figure 4A shows an example of the chemical structure of oxygen-doped silicon carbide prior to densification.

Fig. 4B shows an example of the chemical structure of oxygen-doped silicon carbide after densification.

Fig. 5A-5D show various stages of depositing and densifying a silicon carbide film on a substrate.

Fig. 6A shows fourier transform infrared spectroscopy (FTIR) absorption spectra with various vibrational peaks for detecting certain bond types in both delaminated and non-delaminated silicon carbide films.

Fig. 6B-6E show magnified views of the FTIR absorption spectrum in fig. 6A with various vibrational peaks for detecting certain bond types in the delaminated and non-delaminated silicon carbide films.

Detailed Description

In the following description, numerous specific details are set forth in order to provide a thorough understanding of the presented concepts. The presented concepts may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the described concepts. While some concepts will be described in conjunction with specific embodiments, it will be understood that they are not intended to be limiting.

In this disclosure, the terms "semiconductor wafer," "substrate," "wafer substrate," and "partially fabricated integrated circuit" are used interchangeably. It will be understood by those of ordinary skill in the art that the term "partially fabricated integrated circuit" may refer to a silicon wafer during any of the many stages of integrated circuit fabrication that are performed thereon. Wafers or substrates used in the semiconductor device industry typically have a diameter of 200 mm or 300mm or 450 mm. The following detailed description assumes that the present disclosure is implemented on a wafer. However, the present disclosure is not so limited. The workpiece may be of various shapes, sizes and materials. In addition to semiconductor wafers, other workpieces that may take advantage of the present disclosure include various articles such as printed circuit boards, magnetic recording media, magnetic recording sensors, mirrors, optical elements, micromechanical devices, and the like.

Introduction to the design reside in

The manufacture of semiconductor devices typically involves depositing one or more thin films on a substrate in an integrated manufacturing process. In some aspects of the fabrication process, thin film types such as silicon carbide, silicon oxycarbide, silicon carbonitride, and silicon oxycarbonitride are deposited using Atomic Layer Deposition (ALD), Chemical Vapor Deposition (CVD), Plasma Enhanced Chemical Vapor Deposition (PECVD), or any other suitable deposition method. As used herein, the term "silicon carbide" includes undoped or doped silicon carbides, such as oxygen-doped silicon carbide (SiCO), nitrogen-doped silicon carbide (SiCN), and nitrogen and oxygen-doped silicon carbide (SiOCN). For the most part, doped silicon carbide has up to about 50 atomic percent of the doping atoms, whether these atoms are atoms of oxygen, nitrogen, or other elements. The doping level provides the desired film properties.

Precursor molecules for depositing silicon carbide may include silicon-containing molecules having silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, as well as silicon-carbon (Si-C) bonds. Precursor molecules for depositing silicon oxycarbide include silicon-containing molecules having silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, as well as silicon-oxygen (Si-O) bonds, and/or silicon-carbon (Si-C) bonds. Precursor molecules for depositing silicon carbonitride include silicon-containing molecules having silicon-hydrogen (Si-H) bonds and/or silicon-silicon (Si-Si) bonds, as well as silicon-nitrogen (Si-N) bonds, and/or silicon-carbon (Si-C) bonds. Precursor molecules for depositing silicon carbon oxynitride include silicon-containing molecules having silicon-hydrogen (Si-H) bonds, and/or silicon-silicon (Si-Si) bonds, as well as silicon-nitrogen (Si-N) bonds, silicon-oxygen (Si-O) bonds, and/or silicon-carbon (Si-C) bonds. Current PECVD processes may use in-situ plasma processing in which plasma is provided directly to the vicinity of the substrate.

It has been found that depositing high quality silicon carbide thin films can present challenges, such as providing films with the following characteristics: good step coverage, low dielectric constant, high breakdown voltage, low leakage current, high porosity, and/or coverage on exposed metal surfaces without oxidizing the metal surfaces.

While the invention is not limited by any particular theory, it is believed that the plasma conditions in a typical PECVD process fragment silicon-containing precursor molecules in a manner that produces undesirable effects. For example, PECVD can break Si-O and/or Si-C bonds in the precursor molecule to produce highly reactive radicals or other fragment types with high sticking coefficients. The resulting fragments of the doped silicon carbide film may include silicon, carbon, and/or oxygen atoms with "dangling" bonds, meaning that the silicon, carbon, and/or oxygen atoms have active unpaired valence electrons. The high adhesion coefficient of the precursor molecules and their fragments can deposit silicon carbide films with poor step coverage because the active precursor fragments can disproportionately adhere to the upper regions of the sidewalls of the recessed features and other structures.

Dangling bonds may produce silanol groups (Si-OH) in the deposited silicon oxycarbide or silicon oxycarbonitride films. As a result, the film may have an undesirably high dielectric constant. Film quality may also be affected because direct plasma conditions tend to extract carbon from the deposited film.

In addition, dangling bonds may create increased silicon-hydrogen bonds (Si-H) in the deposited silicon carbide film. The damaged Si-C bond can be replaced with Si-H under direct plasma deposition conditions. The presence of Si-H bonds in the silicon carbide film can result in a film having poor electrical properties. For example, the presence of Si-H bonds can reduce breakdown voltage and can increase leakage current, since Si-H bonds provide a leakage path for electrons.

In addition, dangling bonds can lead to uncontrolled chemical or morphological structures in the silicon carbide film. In some cases, such structures are dense filaments with low porosity or no porosity, such that the film has an unacceptably high dielectric constant. The absence of porosity may be a result of direct plasma conditions that disrupt the Si-C and/or Si-O bonds in the cyclosiloxane that would otherwise provide porosity in the ultra-low k dielectric material.

Sometimes the use of direct plasma conditions in PECVD can lead to directionality in the deposition, since the energy to break down the precursor molecules can be low frequency, which creates a large amount of ion bombardment at the surface. Directional deposition can also result in the deposition of silicon carbide films with poor step coverage. A direct plasma is a plasma that: in which a plasma (of appropriate concentration of electrons and positive ions) resides near the substrate surface during deposition, sometimes separated from the substrate surface only by a plasma sheath.

Typical PECVD processes are sometimes unsuitable for depositing silicon carbide films on exposed copper or other metal surfaces because such processes can oxidize metals. An oxidizing agent, such as oxygen (O), may be used in the PECVD process2) Ozone (O)3) Carbon dioxide (CO)2) Or other oxidizing species to form a silicon oxycarbide film.

Environment of substrate surface during deposition

Fig. 1A shows a cross-section of an exemplary silicon carbide film deposited on a substrate. The silicon carbide film 101 may be formed under process conditions that create a relatively mild environment adjacent the substrate 100. Substrate 100 may be any wafer, semiconductor wafer, partially fabricated integrated circuit, printed circuit board, display screen, or other suitable workpiece. The process used to deposit the silicon carbide film 101 can include one or more silicon-containing precursors having one or more Si-H bonds and/or one or more Si-Si bonds with other bonds (e.g., Si-C bonds, Si-O bonds, and/or Si-N bonds), depending on the type of doping structure to be created.

Some applications employing silicon carbide films are shown in fig. 1B-1D. In some embodiments, the silicon-containing precursor may include a silicon-oxygen-containing precursor, a silicon-nitrogen-containing precursor, and/or a silicon-carbon-containing precursor. The silicon-oxygen containing precursor may include one or more Si-O bonds, the silicon-nitrogen containing precursor may include one or more Si-N bonds, and the silicon-carbon containing precursor may include one or more Si-C bonds. In some embodiments, for example, the silicon-containing precursor may comprise a single reactant A having Si-O bonds and Si-C bonds or Si-N bonds and Si-C bonds. In some embodiments, the silicon-containing precursor may include a reactant B having a Si-O bond or a Si-N bond, and a reactant C having a Si-C bond. It should be understood that any number of suitable reactants may be used within the scope of the present invention. The chemical structure of exemplary silicon-containing precursors will be discussed in further detail below.

The silicon-containing precursor includes one or more Si-H bonds and/or one or more Si-Si bonds. During the deposition process, the Si-H bonds and/or Si-Si bonds are broken and act as active sites for forming bonds between the silicon-containing precursors in the deposited silicon carbide film 101. The broken bonds may also serve as sites for cross-linking in heat treatments performed during or after deposition. Bonding at the active sites and crosslinking may collectively form the primary backbone or matrix in the resulting silicon carbide film 101.

In some embodiments, the process conditions can substantially maintain the Si-C bonds, and if present the Si-O bonds and Si-N bonds, in the deposited silicon carbide film 101 layer. Thus, the reaction conditions adjacent to the substrate 100 provide for selectively breaking Si-H bonds and/or Si-Si bonds, e.g., extracting hydrogen from the broken Si-H bonds, but the reaction conditions do not provide for extracting oxygen from Si-O bonds, nitrogen from Si-N bonds, or carbon from Si-C bonds. However, the introduction of a co-reactant such as oxygen can abstract carbon from the Si-C bond. Typically, the reaction conditions described are present on the exposed surface of the substrate 100 (the surface on which the silicon carbide film 101 is deposited). They may also be present at a distance above the substrate 100, for example, from about 0.5 microns to about 150 millimeters above the substrate 100. Indeed, the activation of the precursor may occur in the gas phase at a substantial distance above the substrate 100. Typically, the relevant reaction conditions will be uniform or substantially uniform across the exposed surface of the substrate 100, although some variations may be permissible for some applications.

In addition to the silicon-containing precursor, the environment proximate the workpiece (e.g., substrate 100) may include one or more radical species, preferably in a substantially low energy state. Examples of such substances include hydrogen atom radicals. In some embodiments, all, or substantially all, or a substantial portion of the hydrogen atomic radicals will be in a ground state, e.g., at least about 90% or 95% of the hydrogen atomic radicals in the vicinity of the workpiece are in a ground state. In certain embodiments, the gas source is provided in a carrier gas (e.g., helium). As an example, hydrogen gas may be provided in a helium carrier at a concentration of about 1-10% hydrogen. The pressure, proportion of carrier gas (e.g., helium), and other process conditions are selected so that the hydrogen atoms collide with the substrate 100 as radicals in a low energy state that are not recombined.

As explained elsewhere, hydrogen gas may be supplied to the remote plasma source to generate atomic or radical hydrogen radicals. Once generated, the hydrogen atom radicals can be in an excited energy state. For example, hydrogen in the excited energy state may have an energy of at least 10.2eV (first excited state). The excited hydrogen atom radicals can lead to non-selective decomposition of the silicon-containing precursor. For example, hydrogen atom radicals in an excited state can easily break Si — H bonds, Si — Si bonds, Si — N bonds, Si — O bonds, and Si — C bonds, which can change the composition or physical or electrical characteristics of the silicon carbide film 101. In some embodiments, when an excited hydrogen atom radical loses its energy or is delayed (relax), the excited hydrogen atom radical may become a substantially low energy state hydrogen atom radical or a ground state hydrogen atom radical. The hydrogen atom radicals in a substantially low or ground state are capable of selectively breaking Si-H bonds and Si-Si bonds, while Si-O bonds, Si-N bonds and Si-C bonds are generally preserved. In some embodiments, process conditions may be provided such that excited hydrogen atomic radicals lose energy or are slowly released to form substantially low energy or ground state hydrogen atomic radicals. For example, the remote plasma source or associated components may be designed such that the residence time of hydrogen atomic radicals diffusing from the remote plasma source to the substrate 100 is greater than the energy slow release time (energetic relaxation time) of the excited hydrogen atomic radicals. The energy release time of the excited hydrogen atom free radicals may be about 1X 10 or less-3And second.

The state in which a substantial portion of the hydrogen atom radicals are in the ground state can be achieved by various techniques. Some devices are designed to achieve this state, as described below. Device features and process control features can be tested and adjusted to produce a mild state where a substantial portion of the hydrogen atom radicals are in the ground state. For example, the device may be operated and tested for charged particles downstream of the plasma source (i.e., near the substrate 100). The process and apparatus may be tuned until substantially no charged species are present near the substrate 100. In addition, the characteristics of the apparatus and process can be adjusted to a configuration in which the apparatus and process begin producing the silicon carbide film 101 from a standard precursor (e.g., trimethylsilane). Relatively mild conditions are selected to support such film deposition.

Other examples of free radical species include: oxygen-containing species, such as elemental oxygen radicals (atomic or diatomic); nitrogen-containing species, such as elemental nitrogen radicals (atomic or diatomic); and N-H containing radicals, such as ammonia radicals, wherein nitrogen is optionally incorporated into the film. Examples of N-H containing radicals include, but are not limited to, the radicals of methylamine, dimethylamine and aniline. The radical species may be produced from a source gas comprising a hydrogen-containing species, a nitrogen-containing species, an N-H containing species, or mixtures thereof. In some embodiments, substantially all or most of the atoms of the deposited film are provided by precursor molecules. In this case, the low energy radicals used to drive the deposition reaction may be entirely hydrogen or other species that do not substantially contribute to the quality of the deposited layer. In some embodiments, as discussed in further detail below, the radical species may be generated by a remote plasma source. In some embodiments, radicals or even ions of higher energy states may potentially be present near the wafer plane.

In some embodiments, the process conditions use a radical species in a substantially low energy state sufficient to break Si-H bonds and/or Si-Si bonds while substantially maintaining Si-O bonds, Si-N bonds, and Si-C bonds. Such process conditions may not have a significant amount of ionic, electronic, or radical species in a high energy state (e.g., a state above the ground state). In some embodiments, the ion concentration in the region adjacent to the membrane is no more than about 107/cm3. The presence of large amounts of ions or high energy radicals may tend to break Si-O, Si-N, and Si-C bonds, which may result in having undesirable electrical characteristics (e.g., such asE.g., high dielectric constant and/or low breakdown voltage) and films that are poorly conformal. It is believed that an excessively reactive environment produces reactive precursor fragments with a high sticking coefficient (indicative of a tendency to chemically or physically stick to the workpiece sidewalls), resulting in poor conformality.

The silicon-containing precursor is typically delivered with other species, particularly carrier gases, in the environment adjacent to the substrate 100. In some implementations, the silicon-containing precursor is present with radical species and other species (including other reactive species and/or carrier gases). In some embodiments, the silicon-containing precursor may be introduced as a mixture. The silicon-containing precursor may be mixed with an inert carrier gas upstream of the deposition reaction surface. Examples of inert carrier gases include, but are not limited to, argon (Ar) and helium (He). In addition, the silicon-containing precursor can be introduced into a mixture having primary and secondary species, the secondary species containing some elements or structural features (e.g., ring structures, cage structures, unsaturation, etc.) being present in the silicon carbide film 101 at relatively low concentrations. The various precursors can be present in equimolar or relatively similar proportions to suit the primary backbone or matrix to be formed in the resulting silicon carbide film 101. In other embodiments, the relative amounts of the different precursors are significantly offset from an equimolar ratio.

In some embodiments, the one or more silicon-containing precursors provide substantially all of the mass of the deposited silicon carbide film 101, with a small amount of hydrogen or other element from the remote plasma providing less than about 5 atomic percent film mass or less than about 2 atomic percent film mass. In some embodiments, only the radical species and the one or more silicon-containing precursors contribute to the composition of the deposited silicon carbide film 101. In other embodiments, the deposition reaction includes other co-reactants rather than one or more silicon-containing precursors and radical species. Examples of such CO-reactants include carbon dioxide (CO)2) Carbon monoxide (CO), water (H)2O), methanol (CH)3OH), oxygen (O)2) Ozone (O)3) Nitrogen (N)2) Dinitrogen monoxide (N)2O), ammonia (NH)3) Diazene (N)2H2) Methane (CH)4) Ethane (C)2H6) Acetylene (C)2H2) Ethylene (C)2H4) Diborane (B)2H6) And combinations thereof. Such materials may be used as nitridizing agents, oxidizing agents, reducing agents, and the like. In some cases, they may be used to adjust the amount of carbon in the deposited film by removing a portion of the carbon provided with the silicon-containing precursor. In some embodiments employing a non-hydrogen co-reactant, the co-reactant is introduced into the reaction chamber through the same flow path as the silicon-containing precursor; for example, the path including the showerhead is not typically directly exposed to the plasma. In some embodiments, oxygen and/or carbon dioxide are introduced with the precursor to alter the composition of the silicon carbide film 101 by removing carbon from the film or precursor during deposition. In some implementations employing a non-hydrogen co-reactant, the co-reactant is introduced into the reaction chamber via the same flow path as hydrogen such that the co-reactant is at least partially converted to radicals and/or ions. In such implementations, both the hydrogen radicals and the co-reactant radicals react with one or more silicon-containing precursors to produce the deposited silicon carbide film 101.

In certain embodiments where a co-reactant is used and introduced into the chamber with the substance to be converted into a radical (e.g., hydrogen), the co-reactant may be provided into the reaction chamber in a relatively small amount compared to other gases in the reaction chamber including a source of radicals (e.g., hydrogen), and any one or more carrier gases (e.g., helium). For example, the co-reactant may be present in the process gas at a mass ratio of about 0.05% or less, or about 0.01% or less, or about 0.001% or less. For example, the reactant mixture, which enters the plasma source, can be about 10-20 liters per minute (L/m) He, about 200-500 standard cubic centimeters per minute (sccm) H2And about 1-10sccm of oxygen. When the co-reactant is introduced into the reaction chamber with the silicon-containing precursor (e.g., through a showerhead), the co-reactant may be present in a higher concentration; for example about 2% or less, or about 0.1% or less. When the co-reactant is a relatively weak reactant (e.g., a weak oxidant such as carbon dioxide), it may be even higherIs present, e.g., about 10% or less than 10%, or about 4% or less than 4%.

The temperature in the environment adjacent the substrate 100 can be any suitable temperature that promotes the deposition reaction, but is sometimes limited by the application of the apparatus containing the silicon carbide film 101. In some embodiments, during deposition of the silicon carbide film 101, the temperature in the environment adjacent the substrate 100 can be controlled, in large part, by the temperature of the susceptor on which the substrate 100 is supported. In some embodiments, the operating temperature may be between about 50 ℃ and about 500 ℃. For example, the operating temperature may be between about 250 ℃ and about 400 ℃ in many integrated circuit applications. In some embodiments, increasing the temperature may result in increased crosslinking on the substrate surface.

The pressure in the environment adjacent to the substrate 100 may be any suitable pressure for generating reactive radicals in the reaction chamber. In some embodiments, the pressure may be about 35 torr or less. For example, as in embodiments that employ microwaves to generate the plasma, the pressure may be between about 10 torr and about 20 torr. In other examples, such as in embodiments where a Radio Frequency (RF) is used to generate the plasma, the pressure may be less than about 5 torr, or between about 0.2 torr and about 5 torr.

Fig. 1B-1D show cross-sections of structures containing silicon carbide films in various applications. Fig. 1B shows a vertical structure of silicon carbide on the sidewalls of the gate electrode structure of the transistor. Fig. 1C shows a vertical structure of silicon carbide on the sidewalls of copper lines exposed in an air gap type metallization layer. FIG. 1D shows a silicon carbide pore sealant for porous dielectric materials. Each of these applications will be discussed in further detail below.

Chemical structure of precursor

As discussed, the precursors employed in forming the silicon carbide film can include silicon-containing precursors, wherein at least some of the silicon-containing precursors have at least one Si-H bond and/or at least one Si-Si bond. In certain embodiments, the silicon-containing precursor has at most one hydrogen atom per silicon atom. Thus, for example, having a front of one silicon atomThe body has at most one hydrogen atom bonded to the silicon atom; a precursor having two silicon atoms has one hydrogen atom bonded to one silicon atom and optionally another hydrogen atom bonded to a second silicon atom; a precursor having three silicon atoms has at least one hydrogen atom bonded to one silicon atom and optionally one or more than two hydrogen atoms bonded to the remaining one or two silicon atoms, and so on. Further, the silicon-containing precursor may include at least one Si-O bond, at least one Si-N bond, and/or at least one Si-C bond. Although any number of suitable precursors can be used during the formation of the silicon carbide film, at least some of the precursors will include a silicon-containing precursor having at least one Si-H bond or Si-Si bond and optionally at least one Si-O bond, Si-N bond, and/or Si-C bond. In various embodiments, the one or more silicon-containing precursors do not contain an O-C bond or an N-C bond; for example, one or more of the precursors does not contain an alkoxy group (-O-R), where R is an organic group, such as a hydrocarbyl group or an amine (-NR)1R2) Group, wherein R1And R2Each independently hydrogen or an organic group.

In certain embodiments, at least some of the carbon provided for the silicon carbide film is provided by one or more hydrocarbon moieties on the silicon-containing precursor. These moieties may be selected from alkyl, alkenyl, alkynyl, aryl, and the like. In certain embodiments, the hydrocarbyl group has a single carbon atom to minimize steric hindrance of Si-H and/or Si-Si bond cleavage reactions during deposition. However, the precursor is not limited to a single carbon group; a greater number of carbon atoms may be used, such as 2, 3, 4, 5 or 6 carbon atoms. In certain embodiments, the hydrocarbyl group is linear. In certain embodiments, the hydrocarbyl group is cyclic.

In some embodiments, the silicon-containing precursor falls into a chemical species. It should be understood that other chemical species of silicon-containing precursors may also be employed, and that silicon-containing precursors are not limited to the chemical species discussed below.

In some embodiments, the silicon-containing precursor may be a siloxane. In some embodiments, the siloxane may be cyclic. The cyclic siloxane may include cyclotetrasiloxane, such as 2,4,6, 8-tetramethylcyclotetrasiloxane (TMCTS), Octamethylcyclotetrasiloxane (OMCTS), and Hexamethylcyclotetrasiloxane (HMCTS). Other cyclic siloxanes may also include, but are not limited to, cyclotrisiloxanes and cyclopentasiloxanes. Embodiments using cyclic siloxanes are cyclic structures that can introduce porosity into the silicon carbide film, where the size of the pores corresponds to the radius of the ring. For example, the cyclotetrasiloxane ring may have a radius of about 6.7 angstroms.

In some embodiments, the siloxane may have a three-dimensional or cage structure. Figure 2 shows a representative example of a caged siloxane precursor. Caged siloxanes have silicon atoms bridged to each other via oxygen atoms to form polyhedra or any 3-D structure. An example of a cage siloxane precursor molecule is a silsesquioxane. The caged siloxane structure is described in further detail in commonly owned U.S. patent No.6576345 to cleepput et al, which is incorporated herein by reference in its entirety for all purposes. Similar to cyclic siloxanes, cage siloxanes can introduce porosity into silicon carbide films. In some embodiments, the pore size is mesoporous.

In some embodiments, the siloxane may be linear. Examples of suitable linear siloxanes include, but are not limited to: disiloxanes (such as Pentamethyldisiloxane (PMDSO) and Tetramethyldisiloxane (TMDSO)); and trisiloxanes (e.g., hexamethyltrisiloxane, heptamethyltrisiloxane).

In some embodiments, the silicon-containing precursor may be an alkylsilane or other hydrocarbyl-substituted silane. The alkylsilane includes a central silicon atom having one or more alkyl groups bonded to the central silicon atom and one or more hydrogen atoms bonded to the central silicon atom. In certain embodiments, any one or more of the alkyl groups comprises 1 to 5 carbon atoms. The hydrocarbyl groups can be saturated or unsaturated (e.g., alkenes (such as ethylene), alkynes, and aromatic groups). Examples include, but are not limited to, trimethylsilane (3MS), triethylsilane, pentamethyldisilamethane ((CH)3)2Si-CH2-Si(CH3)3) And dimethylsilane (2 MS).

In some embodiments, the silicon-containing precursor can be an alkoxysilane. The alkoxysilane includes a central silicon atom and one or more alkoxy groups bonded to the central silicon atom and one or more hydrogen atoms bonded to the central silicon atom. Examples include, but are not limited to, Trimethoxysilane (TMOS), Dimethoxysilane (DMOS), Methoxysilane (MOS), methyldimethoxysilane (MDMOS), Diethoxymethylsilane (DEMS), Dimethylethoxysilane (DMES), and Dimethylmethoxysilane (DMMOS).

In addition, disilanes, trisilanes, or other higher silanes may be used in place of monosilanes. An example of one such disilane from the alkylsilane family is Hexamethyldisilane (HMDS). Another example of a disilane from the alkylsilane family may include Pentamethyldisilane (PMDS). Other types of alkyl silanes may include alkyl carbosilanes, which may have a branched polymeric structure with carbon bonded to a silicon atom and an alkyl group bonded to a silicon atom. Examples include Dimethyltrimethylsilylmethane (DTMSM) and bis-dimethylsilylethane (BDMSE). In some embodiments, one of the silicon atoms may have a carbon-containing group or a hydrocarbon-containing group attached thereto, and one of the silicon atoms may have a hydrogen atom attached thereto.

In some embodiments, the silicon-containing precursor can be a nitrogen-containing compound, such as silicon hydrogen nitride (e.g., a silazane). Typically, such compounds contain carbon, but are bonded only to silicon atoms and not to nitrogen atoms. In certain embodiments, the nitrogen-containing compound does not have any carbon-nitrogen bonds. In certain embodiments, the nitrogen-containing compound does not have any amine moiety (-C-NR)1R2) Wherein R is1And R2Are the same or different groups such as hydrogen atoms and hydrocarbon groups (e.g., alkyl, alkenyl, or alkynyl groups). Examples of suitable silicon-nitrogen precursors include various silazanes, such as cyclic and linear silazanes, which contain one or more hydrocarbon moieties bonded to one or more silicon atoms and one or more hydrogen atoms bonded to one or more silicon atoms. Examples of silazanes include methyl-substituted disilazanes and trisilazanes, such as tetramethyldisilazane and hexamethyltrisilazane.

During deposition of silicon carbide, a variety of silicon-containing precursors may be present in the process gas. For example, a siloxane and an alkylsilane may be used together, or a siloxane and an alkoxysilane may be used together. The relative proportions of the individual precursors can be selected based on the chemical structure of the selected precursors and the application of the resulting silicon carbide film. For example, as discussed in more detail below, the mole percent of the amount of siloxane can be greater than the mole percent of the amount of silane to create a porous film.

For depositing oxygen-doped silicon carbide films, examples of suitable precursors include cyclosiloxanes, such as cyclotetrasiloxane (e.g., Heptamethylcyclotetrasiloxane (HMCTS) and tetramethylcyclotetrasiloxane). Other cyclic siloxanes may also include, but are not limited to, cyclotrisiloxanes and cyclopentasiloxanes. For depositing oxygen-doped silicon carbide films, other examples of suitable precursors include linear siloxanes, such as, but not limited to, disiloxanes such as Pentamethyldisiloxane (PMDSO), Tetramethyldisiloxane (TMDSO), hexamethyltrisiloxane, and heptamethyltrisiloxane.

As explained, the silicon-containing precursor is selected to provide a highly conformal silicon carbide film. It is believed that silicon-containing precursors with low sticking coefficients are capable of producing highly conformal films. "sticking coefficient" is a term used to describe the ratio of the number of adsorbed species (e.g., fragments or molecules) that adsorb/adhere to the surface over the same period of time compared to the total number of species that strike the surface. Symbol ScSometimes used to refer to the sticking coefficient. ScThe value of (c) is between 0 (meaning no substance adheres) and 1 (meaning all impinging substances adhere). A number of factors affect the sticking coefficient, including the type of impinging species, surface temperature, surface coverage, structural details of the surface, and the kinetic energy of the impinging species. Certain substances are inherently more "sticky" than others, making them more likely to adsorb to a surface each time the substance strikes the surface. These more adherent substances have a greater sticking coefficient (all other factors being equal) and are more likely to adsorb near the entrance to the recessed feature than less adherent substances having a lower sticking coefficient. In some cases, the precursor's sticking coefficient (under relevant deposition conditions) can be about 0.05 or less,such as about 0.001 or less.

Graded silicon carbide film

Technology nodes are continually shrinking in the integrated circuit manufacturing industry. For each technology node, device geometries shrink and pitches become smaller. High aspect ratio gaps in such technology nodes may require filling with an insulating material, such as an insulating material having a low dielectric constant (low-k). Semiconductor integration operations may involve filling high aspect ratio gaps with low-k dielectric materials. This is the case for shallow trench isolation, inter-metal dielectric layers, passivation layers, etc.

For example, from the 45 nanometer (nm) technology node to the 14 nm technology node, the device features may shrink laterally, bringing conductive materials closer and closer. Unwanted conductive coupling may occur as conductive materials come closer together, which may result in parasitic capacitance, delays in signal propagation, and signal crosstalk due to capacitive effects. However, as technology nodes become smaller, the low-k material of the interlayer dielectric (ILD) that is the conductive interconnect may reduce parasitic capacitance, signal delay, and signal crosstalk. Some applications, including fin field effect transistor (fiNFET) structures and Dynamic Random Access Memory (DRAM) bit structures, require low-k materials as sidewall spacer materials.

In many integrated circuit applications, silicon nitride (Si)3N4) Are often used as insulating materials due to their step coverage, thermal stability, etch capability and etch resistance, and high breakdown voltage. However, as technology nodes get smaller, the dielectric constant of silicon nitride (about 7-8) may be too high.

Silicon oxide (SiO)2) Has a low dielectric constant, about 4.0, and an interlayer dielectric as a conductive interconnect can provide significantly reduced capacitance. However, silicon oxide may not have sufficient resistance or selectivity to etching operations in various device integration operations.

Silicon carbide materials, including doped and undoped silicon carbide materials, can be used as insulating materials in integrated circuit applications, providing not only low dielectric constant, but also step coverage, thermal stability, wet etch resistance, oxide/nitride dry etch selectivity, and high breakdown voltage. For example, the addition of oxygen atoms and/or nitrogen atoms may adjust the properties of the silicon carbide material. In some embodiments, oxygen-doped silicon carbide films can be used as insulating materials in integrated circuit applications, which provide low dielectric constants, wet etch resistance to withstand device integration operations, and dry etch selectivity to oxides/nitrides.

Various techniques for densifying the film can improve the properties of the film. Densification of the material is typically accomplished using a thermal annealing process and a direct plasma process. Direct plasma treatment or PECVD treatment, which may use inert gas species or reactive gas species (e.g., ammonia (NH), may densify the film by ion bombardment3) Nitrogen (N)2) Or oxygen (O)2)). Ion bombardment with reactive gas species can convert one or more elements in the thin film, such as carbon in a silicon carbide film, nitrogen in a silicon nitride film, or oxygen in a silicon oxide film. For example, NH may be used3The plasma densifies the SiCN film. From NH3The ions and radicals of the plasma contribute to film densification, but ion damage may occur during direct plasma treatment. Moreover, with direct plasma treatment of the film deposited in the features (e.g., trenches, grooves, etc.), film densification may be non-uniform, where the film densification may be greater at the top and bottom of the features than at the sidewalls of the features.

When fabricating an integrated circuit, the silicon carbide film may undergo one or more device integration operations. Such device integration operations may include various deposition, etching, cleaning/stripping, and annealing processes. In device integration operations, the silicon carbide film may undergo etching, ashing, and/or annealing processes. For example, the silicon carbide film may be subjected to one or more wet etches, SiO2Dry etching of photoresist, oxygen plasma ashing of photoresist, and steam annealing. Thus, there may be a need to develop a composition with improved chemical stability relative to SiO2Improved dry etch selectivity, improved plasma ashSilicon carbide films of resistance and improved thermal stability. The densified silicon carbide film may provide at least some, if not all, of the above-described improvements.

The densified silicon carbide film has a greater bonding density of Si-C and/or Si-O bonds than an undensified silicon carbide film. Densification may remove dangling silicon and/or carbon bonds and promote cross-linking of silicon and/or carbon atoms. In general, the chemical structure of the deposited silicon carbide film may include several terminal methyl groups (CH)3) And increased hydrogen content. For example, an oxygen-doped silicon carbide film prior to densification may include several terminal CH' s3Bonds and may have a high hydrogen content. The hydrogen atom may be bonded to an oxygen atom to form a silanol (Si-OH), and the hydrogen atom may be bonded to a silicon atom to form a terminal Si-H bond. Figure 4A shows an example of the chemical structure of oxygen-doped silicon carbide prior to densification. As shown in FIG. 4A, the oxygen-doped silicon carbide structure includes a plurality of terminal CH' s3A bond in which a carbon atom is coordinated by oxygen and hydrogen atoms. Many or at least a majority of the carbon atoms are not cross-linked.

Remote plasma treatment of silicon carbide films can increase the bonding density of Si-C bonds and decrease the terminal CH3The number of bonds and Si-H bonds, thereby densifying the silicon carbide film. Remote plasma treatment may be from the end CH3The bonds and Si-H bonds remove hydrogen atoms and promote crosslinking to form at least Si-C bonds. The Si-Si bonds may also be formed by crosslinking. From Si-CH3The hydrogen of the radical can be removed during the treatment and cross-linked to form Si-C-Si bonds. In some embodiments in which an oxygen-doped silicon carbide film is deposited, densification is by removal of terminal CH3Bonds, Si-OH bonds and Si-H bonds to increase the bond density of Si-O and Si-C bonds. The remote plasma treatment can extract hydrogen from the oxygen-doped silicon carbide film and promote crosslinking, so that more Si-O and Si-C bonds can be formed. Si-CH3The hydrogen of the radical can be removed during the treatment and cross-linked to form Si-C-Si bonds. The hydrogen of the Si-OH groups and Si-H groups can be removed during the treatment and these groups can be cross-linked to form Si-O-Si bonds. In addition, the remote plasma treatment may react the hydroxyl groups of the Si-OH groups to formFormed water (H)2O) and cross-linked with each other to form Si-O-Si bonds. It is understood that remote plasma treatment may result in other possible mechanisms or other possible reaction pathways for increasing the bond density of Si-O and Si-C bonds and decreasing the terminal CH3The amount of bonds, Si-OH bonds and Si-H bonds. Figure 4B shows an example of the chemical structure of oxygen-doped silicon carbide after densification. As shown in FIG. 4B, the oxygen-doped silicon carbide film includes little to no CH3Bonds in which carbon atoms are typically cross-linked and coordinated by silicon atoms. At least a majority of the carbon atoms or carbon atoms are cross-linked and not coordinated by hydrogen or oxygen atoms.

Without being bound by any theory, the increased Si — C bond density may improve certain properties of the silicon carbide film. In some embodiments, increased Si-C and Si-O bond densities may improve certain properties of oxygen-doped silicon carbide films. Doped or undoped silicon carbide films can have greater chemical and thermal stability after densification. For example, a doped or undoped silicon carbide film after densification may exhibit a low etch rate, high etch selectivity relative to silicon oxide, high O2One or more of plasma ashing resistance and high thermal stability.

The present disclosure may densify a silicon carbide film using a remote plasma treatment, and in certain embodiments, densify a silicon carbide film using a remote hydrogen plasma treatment. It is to be understood that the silicon carbide films of the present disclosure include undoped and doped silicon carbide films, such as silicon oxycarbide (SiCO), silicon carbonitride (SiCN) and silicon oxycarbonitride (sion). The densified silicon carbide films can exhibit improved chemical stability, thermal stability, etch resistance, selectivity to oxide/nitride, and plasma ashing resistance. In some embodiments, the silicon carbide film is densified in a layer-by-layer manner. The film density and composition of the silicon carbide film across the thickness of the film can be controlled in a layer-by-layer process. Densifying a silicon carbide film in a layer-by-layer process using remote plasma processing provides more uniform film densification while minimizing damage that may be caused by ion bombardment in direct plasma processing.

Fig. 5A-5D show various stages of depositing and densifying a silicon carbide film on a substrate. Deposition and remote plasma treatment cycles can occur in an alternating manner to form a densified silicon carbide film. The operations illustrated in fig. 5A-5D may be performed with different, fewer, or additional operations.

Fig. 5A shows a cross-section of an exemplary silicon carbide film deposited on a substrate. A silicon carbide film of a first thickness 501 may be deposited on the substrate 500. Substrate 500 may be any wafer, semiconductor wafer, partially fabricated integrated circuit, printed circuit board, display screen, or other suitable workpiece. In some embodiments, the substrate 500 may include a plurality of high aspect ratio features, wherein each feature may have a height to width ratio of greater than 2: 1. greater than 5: 1 or greater than 10: an aspect ratio of 1. The first thickness 501 of the silicon carbide film can be deposited with such high aspect ratio features with good step coverage. Good step coverage can be used for various integrated circuit applications, such as sidewall spacer applications.

The first thickness 501 of the silicon carbide film can be controlled according to a predetermined deposition time to achieve a desired thickness. In some embodiments, the deposition time may be between about 5 seconds and about 500 seconds, or between about 10 seconds and about 200 seconds. In some embodiments, the desired thickness of the first thickness 501 may be aboutAnd the combinationIn the meantime. The deposition time may correspond to a desired thickness of the first thickness 501. The first thickness 501 may be controlled to enable sufficient penetration of subsequent remote plasma treatment to densify the first thickness 501.

The deposition of the silicon carbide film of the first thickness 501 may occur using the remote plasma deposition techniques previously described herein. In some embodiments, the first thickness 501 is deposited by flowing one or more silicon-containing precursors into a reaction chamber toward the substrate 500 and flowing one or more radicals of a source gas from a remote plasma source to react with the one or more silicon-containing precursors for a first period of time (e.g., a deposition time). The free radicals of the source gas may be in a low energy or ground state when reacted with one or more silicon-containing precursors. The reaction with the one or more silicon-containing precursors provides relatively mild process conditions in the environment adjacent to the substrate 500.

In some embodiments, the free radicals may be generated from source gases including hydrogen, nitrogen, N-H containing species (e.g., NH)3) Oxygen, oxygen-containing substances (e.g. H)2O、CO2Or N2O), or mixtures thereof. For example, the source gas may include hydrogen. A majority of the radicals may be radicals in a substantially low energy state, such as the ground state. Hydrogen radicals in a substantially low or ground state are capable of selectively breaking Si-H and Si-Si bonds while generally retaining Si-O, Si-N and Si-C bonds. In some embodiments, at least 90% of the free radicals of the source gas are hydrogen radicals in the ground state. Leaving most of the hydrogen radicals in a substantially low energy or ground state may be accomplished by various techniques. Some devices, such as those described below, are designed to achieve this state.

The process conditions as previously described can be adapted for depositing a silicon carbide film using remote plasma deposition with one or more silicon-containing precursors. Process conditions such as pressure, temperature, RF power, gas flow, and gas composition may be adjusted during deposition to affect the characteristics of the remote plasma.

In some embodiments, the pressure in the environment adjacent to the substrate 500 may be any suitable pressure to generate reactive radicals in the reaction chamber. For example, the pressure may be about 35 torr or less, such as between about 10 torr and about 20 torr or between about 0.2 torr and about 5 torr.

In some embodiments, the temperature in the environment adjacent to the substrate 500 may be any suitable temperature to facilitate deposition. For example, the temperature may be between about 50 ℃ to about 500 ℃ or between about 250 ℃ to about 400 ℃.

In some embodiments, the RF power applied to the remote plasma source may vary depending on the type of plasma source, wafer size, and other operating conditions. Typically, for example, the RF power for an inductively coupled plasma for a 300mm wafer may be between about 300 watts to about 10 kilowatts, or between about 1 kilowatt to about 6 kilowatts. Higher RF power may be applied to generate more radicals in the remote plasma source.

In some embodiments, the gas composition during remote hydrogen plasma deposition may include one or more silicon-containing precursors. Each of the one or more silicon-containing precursors includes at least one Si-H and/or at least one Si-Si bond. In addition, each silicon-containing precursor may also include at least one Si-O bond, at least one Si-N bond, and/or at least one Si-C bond. In some embodiments, each silicon-containing precursor does not contain an O-C or N-C bond; for example, the precursor is free of alkoxy groups (-O-R), where R is an organic group (e.g., hydrocarbyl) or an amine (-NR)1R2) Group, wherein R1And R2Each is hydrogen or an organic group. One or more silicon-containing precursors may be selected to adjust the composition of the silicon carbide film and achieve high step coverage. In some embodiments, each of the one or more silicon-containing precursors is selected from: cyclic siloxanes, linear siloxanes, alkoxysilanes, alkylsilanes, and silazanes. For example, the one or more silicon-containing precursors, when forming a silicon carbide film, an oxygen-doped silicon carbide film, a nitrogen-doped silicon carbide film, or an oxygen and nitrogen-doped silicon carbide film, can include an alkyl carbosilane, a linear siloxane, a cyclic siloxane, a silazane, or any combination thereof. The one or more silicon-containing precursors can provide substantially all of the mass of the deposited silicon carbide film of the first thickness 501, with a small amount of hydrogen or other element from the remote plasma providing less than about 5 atomic percent or less than about 2 atomic percent of the film mass.

In some embodiments, the gas composition during remote hydrogen plasma deposition may include one or more co-reactants in addition to one or more silicon-containing precursors. One or more coreactants can be introduced into the reaction chamber through the same flow path as the silicon-containing precursor, wherein the one or more coreactants are not exposed to the plasma, or alternatively, the coreactants can be introduced into the reaction chamber through the same flow path as the silicon-containing precursorTo introduce one or more co-reactants into the reaction chamber through the same flow path as the remote plasma, wherein the one or more co-reactants are exposed to the plasma. The co-reactants may be selected to adjust the composition of the silicon carbide film of the first thickness 501. Depending on the selection of the co-reactant, the co-reactant may increase or decrease the carbon, oxygen, or nitrogen content of the silicon carbide film. The CO-reactant may comprise CO2、CO、H2O、CH3OH、O2、O3、N2、N2O、NH3、N2H2、CH4、C2H6、C2H2、C2H4、B2H6Or a combination thereof. In some cases, the one or more CO-reactants may include CO2、O2、N2、NH3Or a combination thereof. The one or more co-reactants may be introduced into the reaction chamber in relatively small amounts compared to other gases in the reaction chamber, including the source gas of the radicals and any carrier gas.

In some embodiments, the gas composition during remote plasma deposition may include one or more carrier gases. In particular, the source gas may be provided with a carrier gas. Examples of carrier gases include, but are not limited to, helium, neon, argon, krypton, and xenon. The concentration of the carrier gas may be significantly greater than the concentration of the source gas. For example, hydrogen gas may be provided in a helium carrier gas at a concentration of about 1-10% hydrogen. The presence of the carrier gas may help to increase ionization of the source gas and reduce recombination. The presence of the carrier gas may serve the same purpose, although lower pressures generally help to increase ionization of the source gas and reduce recombination. In this way, even at higher pressures, a majority of the radicals can be generated with minimal recombination when a carrier gas, such as helium, flows with the source gas. Higher pressures in the reaction chamber during deposition can improve the conformality of the silicon carbide film. The higher pressure in the reaction chamber may correspond to a pressure greater than about 3 torr or greater than about 5 torr, for example about 7 torr.

It is to be understood that the composition of the silicon carbide film may vary depending on the choice of precursor, the flow of precursor, and the flow of co-reactant. In some embodiments, the internal structure of the precursor is maintained in the deposited film. Such a structure may retain all or most of the Si-C bonds, and Si-O bonds and/or Si-N bonds (if present) in the precursor while linking or crosslinking individual precursor moieties through bonds present at the sites of Si-H bonds and/or Si-Si bonds of the precursor molecules and/or through additional condensation reactions on the growth surface (if sufficient thermal energy is provided).

Fig. 5B shows a cross-section of the silicon carbide film of fig. 5A densified by remote plasma treatment. After depositing a first thickness 501 of silicon carbide film on the substrate 500, the first thickness 501 is exposed to a remote plasma treatment 510 to densify the first thickness 501. The remote plasma source for generating radicals of the source gas during deposition may also be used for generating radicals of the source gas during processing. Thus, the silicon carbide film deposition can be performed in the same reaction chamber as the reaction chamber for the silicon carbide film treatment. This enables alternate deposition and processing cycles to be performed in the same tool. As a result, the first thickness 501 may be deposited and the silicon carbide film of the first thickness 501 may be exposed to the remote plasma treatment 510 without introducing vacuum damage (e.g., air damage). Vacuum breakdown can reduce yield and introduce oxidation into the semiconductor device, which can result in higher resistance and reduced performance.

Densification of a silicon carbide film of a first thickness 501 may be achieved by a remote plasma treatment 510. Exposing a silicon carbide film of a first thickness 501 to a remote plasma treatment 510 may include: a source gas is flowed into the remote plasma source, radicals of the source gas in the remote plasma source are generated from the source gas, and the radicals of the source gas are flowed to the silicon carbide film of the first thickness 501. In some embodiments, exposing the silicon carbide film of the first thickness 501 to the remote plasma treatment 510 further comprises flowing an inert gas with the source gas. In some embodiments, the source gas may include hydrogen, nitrogen, N-H containing species (e.g., NH)3) Oxygen, oxygen-containing substances (e.g. H)2O,CO2Or N2O), or mixtures thereof. For example, the source gas may include hydrogen.

Without delivering a silicon-containing precursor, the firstA silicon carbide film of thickness 501 is exposed to a remote plasma treatment 510. In other words, while deposition of the silicon carbide film of the first thickness 501 involves flowing one or more silicon-containing precursors, processing the silicon carbide film of the first thickness 501 stops the flow of the silicon-containing precursors. However, the remote plasma treatment 510 may treat the silicon carbide film of the first thickness 501 by delivering radicals in a substantially low energy state to the silicon carbide film of the first thickness 501. A majority of the radicals may be radicals in a substantially low energy state (e.g., ground state). In some embodiments, at least 90% of the free radicals of the source gas are hydrogen radicals in the ground state. During the remote plasma treatment 510, the radicals of the source gas may also flow to the silicon carbide film of the first thickness 501 in addition to other gases, such as one or more co-reactant gases and an inert carrier gas. Remote plasma treatment 510 can remove Si-CH from silicon carbide films3Hydrogen in the groups, Si-OH groups and Si-H groups and promote crosslinking to increase the overall bond density of Si-C and/or Si-O bonds.

Densification of a silicon carbide film of a first thickness 501 using a remote plasma treatment 510 may be controlled according to a predetermined treatment time. In some embodiments, the treatment time may be between about 2 seconds and about 100 seconds, or between about 5 seconds and about 50 seconds. Longer treatment times may correspond to increased densification. The determination of the processing time may depend on the thickness of the first thickness 501, where a thicker layer may require a longer processing time to more fully densify the layer.

In addition to the treatment time, densification and treatment efficiency may also be controlled by adjusting one or more process conditions (e.g., pressure, RF power, gas flow, and gas composition). Pressure, RF power, gas flow, gas composition, and other process conditions may be adjusted during remote plasma treatment 510 to affect the characteristics of the remote plasma so that different bond densities may be created in the silicon carbide film of first thickness 501.

The pressure in the reaction chamber during the remote plasma process 510 may be adjusted to increase the ionization of the source gas and shorten the residence time of the radicals of the source gas. Shortening the residence time will reduce the effect of free radical recombination. The lower pressure allows the molecules to move faster, which results in increased ionization of the source gas, shorter residence time, and reduced recombination of free radicals. In some embodiments, the pressure may be between about 0.2 torr and about 5 torr, or between about 1 torr and about 3 torr. However, it should be understood that the pressure in the reaction chamber during the remote plasma processing 510 may be greater than 3 torr or greater than 5 torr, with other process conditions (e.g., inert carrier gas) resulting in sufficient ionization and shortened residence time.

The RF power applied to the remote plasma source during the remote plasma process 510 may be adjusted to increase the generation of radicals from the source gas. The increased RF power will increase the ionization of the source gas, thereby generating a majority of the source gas radicals. Typically, the RF power of an inductively coupled plasma for a 300mm wafer, for example, may be between about 300 watts and about 10 kilowatts, or between about 1 kilowatt and about 6 kilowatts.

During the remote plasma process 510, the source gas may flow with an inert carrier gas. The inert carrier gas may include, but is not limited to, helium, neon, argon, krypton, and xenon. The concentration of the inert carrier gas may be significantly greater than the concentration of the source gas. In some embodiments, the concentration of the source gas relative to the inert carrier gas may be between about 1% and about 10%, or between about 2% and about 5%. Without being bound by any theory, the reason for the significantly higher concentration of inert carrier gas relative to the source gas is that the inert carrier gas contributes to the "penning ionization" resulting in significant dissociation of the source gas and the generation of a large portion of the free radicals. A significantly greater concentration of inert carrier gas may also minimize recombination of free radicals. For example, hydrogen gas may be provided in a helium carrier gas at a concentration of about 1-10% hydrogen. An inert carrier gas with a lower molecular weight (e.g., helium) may contribute penning ionization with higher efficiency than an inert carrier gas with a higher molecular weight. In addition, flowing an inert carrier gas (e.g., helium) having a low molecular weight and a source gas at a low concentration relative to the inert carrier gas (e.g., a small amount of hydrogen in helium, with a hydrogen concentration of about 1-10%) can generate a large portion of the radicals even at high pressures (e.g., greater than 3 torr) while minimizing recombination. Thus, the presence of a suitable inert carrier gas at an appropriate concentration may help to densify the silicon carbide film of the first thickness 501, regardless of the pressure of the reaction chamber.

One or more co-reactants may be flowed during the remote plasma treatment 510 to adjust the bond density and composition of the silicon carbide film of the first thickness 501. One or more co-reactants may be introduced into the reaction chamber through the same flow path as the remote plasma, wherein the one or more co-reactants are exposed to the plasma. Depending on the choice of co-reactant, the co-reactant may increase or decrease the oxygen, nitrogen, or carbon content of the silicon carbide film. The CO-reactant may comprise CO2、CO、H2O、CH3OH、O2、O3、N2、N2O、NH3、N2H2、CH4、C2H6、C2H2、C2H4、B2H6Or a combination thereof. In some cases, the one or more CO-reactants may include CO2、O2、N2、NH3Or a combination thereof. The presence of oxygen or oxygen radicals tends to extract carbon from the Si-C bond. In other words, the presence of oxygen can convert carbides to oxides. Carbon may be removed from the silicon-containing precursor on the substrate, and in some cases, oxygen may be substituted for the carbon. Thus, increasing the oxygen concentration in the remote plasma during the remote plasma treatment 510 can effectively adjust the carbon content of the silicon carbide film of the first thickness 501. Additionally or alternatively, the presence of nitrogen or nitrogen radicals tends to extract carbon from the Si-C bonds. Thus, increasing the nitrogen concentration in the remote plasma during the remote plasma treatment 510 can effectively adjust the carbon content of the silicon carbide film of the first thickness 501.

Fig. 5C shows a cross-section of the silicon carbide film of fig. 5B, wherein a second thickness is deposited on the first thickness silicon carbide film. A second thickness 502 of silicon carbide film may be deposited on the silicon carbide film of the first thickness 501. The operation of depositing the second thickness 502 may be the same as or at least similar to the operation of depositing the first thickness 501. In other words, the process of depositing the second thickness 502 may repeat depositing the first thicknessThe process of degree 501. For example, if depositing the first thickness 501 comprises flowing one or more silicon-containing precursors into a reaction chamber and flowing one or more radicals of a source gas generated by a remote plasma source to react with the one or more silicon-containing precursors for a first period of time, depositing the second thickness 502 comprises repeating the above operations for a second period of time. In some embodiments, the first time period is the same as the second time period. In some embodiments, the first time period is different from the second time period. Different time periods may correspond to different thicknesses. In some embodiments, the thickness of the second thickness 502 may be between aboutTo about In the meantime. In addition, the thickness and composition of the second thickness 502 of the silicon carbide film can be adjusted by controlling the deposition time and various process conditions (e.g., pressure, temperature, RF power, gas flow, and gas composition). Thus, the deposition time, pressure, temperature, RF power, gas flow, and gas composition used to deposit the silicon carbide film of the second thickness 502 can be the same or different than the deposition time, pressure, temperature, RF power, gas flow, and gas composition used to deposit the silicon carbide film of the first thickness 501.

Fig. 5D shows a cross-section of the silicon carbide film of fig. 5C densified by remote plasma treatment. After depositing a second thickness 502 of silicon carbide film over the first thickness 501, the second thickness 502 is exposed to a remote plasma treatment 520 to densify at least the second thickness 502. The operation of exposing the second thickness 502 to the remote plasma treatment 520 may be the same as or at least similar to the operation of exposing the first thickness 501 to the remote plasma treatment 510. In other words, the process of exposing second thickness 502 to remote plasma treatment 520 may repeat the process of exposing first thickness 501 to remote plasma treatment 510. For example, if exposing the first thickness 501 to the remote plasma treatment 510 includes: flowing a source gas into a remote plasma source where radicals of the source gas are generated from the source gas and flowed to the first thickness 501 of the silicon carbide film, exposing the second thickness 502 to remote plasma treatment 520 includes repeating the above operations on the second thickness 502 of the silicon carbide film. In some embodiments, the treatment times are the same. In some embodiments, the treatment times are different. The processing time may be sufficient to allow the remote plasma to penetrate the silicon carbide film of the second thickness 502. Different processing times can result in silicon carbide films having a density gradient through the thickness of the film. In addition, controlling the processing time and various process conditions (e.g., pressure, RF power, gas flow, and gas composition) can change the processing efficiency and densification of the silicon carbide film of the second thickness 502. Thus, the processing time, pressure, RF power, gas flow, and gas composition of remote plasma process 520 may be the same or different than the processing time, pressure, RF power, gas flow, and gas composition used for remote plasma process 510.

Referring to fig. 5A-5D, deposition and densification of doped or undoped silicon carbide films may be achieved by alternating deposition and treatment cycles. Deposition and densification are performed in a layer-by-layer manner such that each remote plasma processing cycle fully densifies the deposited layer. In this way, the entire film stack can be densified and have a more uniform film density throughout the thickness of the film stack. Thus, it should be understood that the deposition and processing cycles to form the silicon carbide film are not limited to the operations shown in FIGS. 5A-5D, but may be repeated until the desired film thickness is achieved. The densified silicon carbide films of the present disclosure can be implemented in a variety of applications, including in the structures shown in fig. 1B-1D, which are discussed in further detail below.

Densifying a silicon carbide film in a layer-by-layer manner can result in a greater overall bond density than densifying a silicon carbide film without layer-by-layer manner. The remote plasma treatment may be carried out by plasma treatment from Si-CH3Si-OH and/or Si-H groups remove hydrogen atoms to densify the silicon carbide film and promote chemical structure of the silicon carbide filmAnd (4) crosslinking. The remote plasma treatment can be carried out by increasing the bond density of Si-C bonds and decreasing the terminal CH3The number of bonds and Si-H bonds to densify the silicon carbide film. In some embodiments involving oxygen-doped silicon carbide films, remote plasma treatment may be used to increase the bond density of Si-C and Si-O bonds and decrease the terminal CH3The number of bonds, Si-OH bonds and Si-H bonds to densify the oxygen-doped silicon carbide film.

The process conditions used to form the densified silicon carbide film can provide a highly conformal film structure. The relatively mild process conditions during deposition and processing can minimize the degree of ion bombardment at the substrate surface so that the deposition and processing is isotropic. In addition, relatively mild process conditions may reduce the number of radicals having a high sticking coefficient, which may have a tendency to stick to the sidewalls of previously deposited layers or films. In certain embodiments, for a ratio of about 2: 1 to 10: 1 depth to width aspect ratio, the densified silicon carbide film may be deposited at a conformal rate of between about 25% and 100%, more typically between about 50% and 100%, and even more typically between about 80% and 100%. The conformality can be calculated by comparing the average thickness of the deposited film at the bottom, sidewall, or top of a feature to the average thickness of the deposited film at the bottom, sidewall, or top of a feature. For example, the conformality can be calculated by dividing the average thickness of the deposited film on the sidewalls of the features by the average thickness of the deposited film on the top of the features and multiplying by 100 to obtain the percentage. For some applications, a conformality between about 85% and 95% is sufficient. In a composition having a molecular weight between about 2: 1 and about 4: 1, the conformality is at least about 90% in some embodiments in which densified silicon carbide is formed on features having an aspect ratio between 1. Some BEOL (back-end-of-line) processes fall into this category. In a polymer having a molecular weight between about 4: 1 and about 6: 1, the conformality is at least about 80% in some embodiments in which densified silicon carbide is formed on features having an aspect ratio between 1. Deposition processes for certain underlayer fall into this category. In a composition having a molecular weight of between about 7: 1 and about 10: in some embodiments in which densified silicon carbide is formed on features having aspect ratios between 1 (and even higher), the conformality is at least about 90%. Some DRAM manufacturing processes fall into this category.

The process conditions used to form the densified silicon carbide film can also provide a film structure with a high breakdown voltage and low leakage current. In some embodiments, the introduction of limited amounts of oxygen or nitrogen into the silicon carbide-based material will result from Si-H bonds and/or Si-CH2The leakage path provided by the Si bond can be blocked by oxygen or nitrogen. The conduction mode at low fields may be different in Si-O and Si-N. Remote plasma treatment can provide improved electrical performance while maintaining a relatively low dielectric constant. In various embodiments, the film has an effective dielectric constant of about 6.0 or less, or about 5.0 or less, or about 4.0 or less, and in some cases about 3.5 or less, and in some cases about 3.0 or less, and in other embodiments about 2.5 or less. The effective dielectric constant may depend on bonding and density.

Fig. 6A shows fourier transform infrared spectroscopy (FTIR) absorption spectra with various vibrational peaks for detecting certain bonding types in the layered treated silicon carbide film and the untreated silicon carbide film. Fig. 6B-6E show magnified views of various vibrational peaks of the FTIR absorption spectrum in fig. 6A for detecting certain bonding types in the delaminated treated silicon carbide film and the untreated silicon carbide film. The silicon carbide film subjected to the delamination treatment and the silicon carbide film not subjected to the delamination treatment have the same thickness. FIG. 6B shows the increase in Si-O and Si-C peaks for a silicon carbide film processed in a layer-by-layer approach. The higher peaks correspond to more Si-O and Si-C bonds per unit volume. Without being bound by any theory, the increase in bond density of Si-C bonds may be due to Si-CH3Cross-linking of the groups. The increase in bond density of Si-O bonds may be caused by the crosslinking of Si-OH groups.

Remote plasma processing with a layering operation can improve the properties of silicon carbide films. For example, a silicon carbide film that has been layered can exhibit greater dry etch selectivity than oxide/nitride. The delaminated silicon carbide film may also exhibit greater thermal and chemical stability. Further, a silicon carbide film subjected to a delamination treatmentImproved oxygen plasma ash resistance can be exhibited. Table 1 shows the results of the process for removing SiO2The amount of silicon carbide film removed during the oxygen plasma ashing process used to remove the photoresist prior to the Hydrogen Fluoride (HF) wet etch process. Specifically, the silicon carbide film was exposed to O at 400 ℃ for 30 seconds2Plasma treated and immersed in an HF bath for 10 minutes. In table 1, X may be a value between about 10 seconds and about 200 seconds, and Y may be a value between about 5 seconds and about 50 seconds. The results of table 1 show that the amount of film loss at the center of the silicon carbide film decreases with the increase in the treatment time per treatment cycle. In addition, the amount of film loss at the center of the silicon carbide film decreases as the deposition time per deposition cycle is shortened. Thus, the thinner layer per deposition cycle improves the etch resistance and chemical stability of the treated silicon carbide film.

TABLE 1

Device for measuring the position of a moving object

One aspect of the invention is an apparatus configured to implement the methods described herein. Suitable apparatus include hardware for implementing process operations and a system controller having instructions for controlling process operations in accordance with the present invention. In some embodiments, the means for performing the aforementioned process operations may comprise a remote plasma source. The remote plasma source provides mild reaction conditions compared to direct plasma. An example of a suitable remote plasma device is described in U.S. patent application No.14/062,648 filed on 24/10/2013, which is incorporated by reference herein in its entirety for all purposes.

Fig. 3 shows a schematic diagram of a remote plasma apparatus according to some embodiments. The apparatus 300 includes a reaction chamber 310 having a showerhead assembly 320. Inside the reaction chamber 310, the substrate 330 rests on a pedestal or base 335. In some embodiments, the base 335 may be equipped with a heating/cooling element. A controller 340 may be connected to the components of the apparatus 300 to control the operation of the apparatus 300. For example, the controller 340 may contain instructions for controlling process conditions of operation of the apparatus 300, such as temperature process conditions and/or pressure process conditions. In some implementations, the controller 340 may include instructions for controlling the flow rates of the precursor gas, the co-reactant gas, the source gas, and the carrier gas. The controller 340 may contain instructions for controlling the deposition time in a deposition cycle and the processing time in a processing cycle. In addition, the controller 340 may contain instructions for adjusting the pressure, RF power, gas flow, and gas composition of a deposition cycle or a process cycle.

In operation, a gas or gas mixture is introduced into the reaction chamber 310 via one or more gas inlets coupled to the reaction chamber 310. In some embodiments, two or more gas inlets are coupled to the reaction chamber 310. A first gas inlet 355 may be coupled to the reaction chamber 310 and connected to the vessel 350, and a second gas inlet 365 may be coupled to the reaction chamber 310 and connected to the remote plasma source 360. In embodiments including a remote plasma configuration, the delivery lines for the precursors and radical species generated in the remote plasma source are separate. Thus, the precursor and radical species do not substantially interact before reaching the substrate 330.

One or more radical species may be generated in the remote plasma source 360 and configured to enter the reaction chamber 310 via the gas inlet 365. Any type of plasma source may be used in the remote plasma source 360 to create radical species. This includes, but is not limited to, capacitively coupled plasma, inductively coupled plasma, microwave plasma, direct current plasma, and laser generated plasma. An example of a capacitively coupled plasma may be a Radio Frequency (RF) plasma. The high frequency plasma may be configured to operate at 13.56MHz or higher. An example of such a remote plasma source 360 may be that manufactured by Lam Research Corporation (Fremont, Calif)ornia) manufactureAnother example of such a radio frequency remote plasma source 360 may be manufactured by MKS Instruments of Wilmington, MassWhich can operate at 440kHz and can be provided as a subunit bolted to a larger apparatus for parallel processing of one or more substrates. In some embodiments, microwave plasma may be used as the remote plasma source 360, e.g.It is also manufactured by MKS Instruments. The microwave plasma may be configured to operate at a frequency of 2.45 GHz. The gas provided to the remote plasma source may include hydrogen, nitrogen, oxygen, and other gases as mentioned elsewhere herein. In certain embodiments, the hydrogen is provided in a carrier (e.g., helium). As an example, hydrogen gas may be provided in a helium carrier at a concentration of about 1-10% hydrogen.

The precursor may be provided in a container 350 and may be supplied to the showerhead 320 via a first gas inlet 355. The showerhead 320 dispenses the precursor to the reaction chamber 310 toward the substrate 330. A substrate 330 may be positioned below the showerhead 320. It should be appreciated that the showerhead 320 may have any suitable shape and may have any number and arrangement of ports for distributing gases to the substrates 330. The precursor may be supplied to the showerhead 320 and ultimately to the substrate 330 at a controlled flow rate.

One or more radical species formed at the remote plasma source 360 may be transported in a gas phase toward the substrate 330. One or more radical species may flow into the reaction chamber 310 through the second gas inlet 365. It should be understood that, as shown in fig. 3, the second gas inlet 365 need not be transverse (transversiser to) the surface of the substrate 330. In certain embodiments, the second gas inlet 365 may be directly above the substrate 330 or at other locations. Remote plasma source 360 and reverseThe distance between the reaction chambers 310 may be configured to provide mild reaction conditions such that the ionized species generated at the remote plasma source 360 are substantially neutral, but at least some radical species in a substantially low energy state remain in the environment adjacent the substrate 330. Such low energy state free radical species no longer recombine to form stable compounds. The distance between the remote plasma source 360 and the reaction chamber 310 may be the aggressiveness of the plasma (e.g., determined in part by the source RF power level), the gas density in the plasma (e.g., if there is a high concentration of hydrogen atoms, then a substantial portion of them may recombine to form H before reaching the reaction chamber 3102) And other factors. In some embodiments, the distance between the remote plasma source 360 and the reaction chamber 310 may be between about 1cm and 30cm, for example about 5cm or about 15 cm.

In some embodiments, a co-reactant that is not the predominant silicon-containing precursor or hydrogen radical is introduced during a deposition cycle or a treatment cycle. In some embodiments, the apparatus is configured to introduce a co-reactant through the second gas inlet 365, in which case the co-reactant is at least partially converted to a plasma. In some embodiments, the apparatus is configured to introduce a co-reactant through the showerhead 320 via the first gas inlet 355. Examples of co-reactants include oxygen, nitrogen, ammonia, carbon dioxide, carbon monoxide, and the like.

The controller 340 may contain instructions for controlling the process conditions for the operation of the apparatus 300. Controller 340 will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller board, etc. The instructions for implementing the appropriate control operations are executed on the processor. These instructions may be stored in a memory device associated with controller 340 or they may be provided over a network.

In certain embodiments, the controller 340 controls all or most of the actions of the semiconductor processing apparatus 300 described herein. For example, the controller 340 may control all or most of the actions of the semiconductor processing apparatus 300 associated with depositing a silicon carbide film and processing the silicon carbide film to densify the silicon carbide film. Controller 340 may execute system control software that includes sets of instructions for controlling timing, gas composition, gas flow rate, chamber pressure, chamber temperature, RF power level, substrate position, and/or other parameters. Other computer programs, scripts, or programs stored on a memory device associated with controller 340 may be employed in some embodiments. In order to provide relatively mild reaction conditions in the environment adjacent to substrate 330, parameters such as RF power levels, gas flow rates to remote plasma regions, and timing of plasma ignition may be adjusted and maintained by controller 340. Additionally, adjusting the substrate position may further reduce the presence of energetic radical species in the environment adjacent to the substrate 330. In a multi-station reactor, the controller 340 may include different or the same instructions for different plant stations, thereby enabling the plant stations to operate independently or simultaneously.

In some embodiments, the controller 340 may include instructions for performing operations such as providing a substrate 330 in the reaction chamber 310, depositing a first thickness of silicon carbide film on the substrate 330, exposing the first thickness of silicon carbide film to a remote hydrogen plasma process, depositing a second thickness of silicon carbide film on the first thickness of silicon carbide film, and exposing the second thickness of silicon carbide film to a remote hydrogen plasma process. In some embodiments, exposing the first thickness of silicon carbide film and the second thickness of silicon carbide film to a remote hydrogen plasma treatment includes flowing one or more hydrogen radicals from a substantially low energy state of the remote plasma source 360.

In some embodiments, the apparatus may include a user interface associated with the controller 340. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as a pointing device, keyboard, touch screen, microphone, and the like.

The computer program code for controlling the above operations may be written in any conventional computer readable programming language: such as assembly language, C, C + +, Pascal, Fortran, or others. The compiled object code or script is executed by the processor to perform the tasks identified in the program.

The signals for monitoring the process may be provided by analog and/or digital input connections of the system controller. The signals used to control the process are the outputs on the analog and digital output connections of the processing system.

In general, the methods described herein can be performed on a system that includes semiconductor processing equipment, such as one or more processing tools, one or more chambers, one or more platforms for processing, and/or specific processing components (wafer susceptors, gas flow systems, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of semiconductor wafers or substrates. In general, an electronic device may be referred to as a "controller," which may control various elements or subcomponents of one or more systems. Depending on the processing requirements and/or type of system, the controller can be programmed to control any of the processes disclosed herein, including controlling process gas delivery, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, Radio Frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and operation settings, processing times, deposition times, wafer transfer in and out of tools and other transfer tools, and/or load locks connected or interfaced with specific systems.

Broadly speaking, a controller may be defined as an electronic device having various integrated circuits, logic, memory, and/or software that receives instructions, issues instructions, controls operations, enables cleaning operations, enables endpoint measurements, and so forth. An integrated circuit may include a chip in firmware that stores program instructions, a Digital Signal Processor (DSP), a chip defined as an Application Specific Integrated Circuit (ASIC), and/or one or more microprocessors or microcontrollers executing program instructions (e.g., software). The program instructions may be in the form of various separate settings (or program files) that communicate with the controller, define operating parameters for performing specific processes on or for a semiconductor wafer or system. In some embodiments, the operating parameter may be part of a recipe (recipe) defined by a process engineer for completing one or more processing steps during the preparation of one or more layer(s), material (e.g., silicon carbide), surface, circuit, and/or die of a wafer.

In some embodiments, the controller may be part of or coupled to a computer that is integrated with, coupled to, or connected to the system via a network, or a combination of these. For example, the controller may be in the "cloud" or be all or part of a fab (fab) host system, which may allow remote access to the wafer process. The computer may enable remote access to the system to monitor the current progress of the manufacturing operation, check the history of past manufacturing operations, check trends or performance criteria for multiple manufacturing operations, change parameters of the current process, set processing steps to follow the current process or start a new process. In some examples, a remote computer (e.g., a server) may provide the process recipe to the system over a network, which may include a local network or the internet. The remote computer may include a user interface that allows parameters and/or settings to be entered or programmed, which are then communicated from the remote computer to the system. In some examples, the controller receives instructions in the form of data that specify parameters for each process step to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus, as described above, a controller may be distributed, for example, by including one or more discrete controllers that are connected together by a network and work toward a common goal (e.g., the processes and controls described herein). An example of a distributed controller for these purposes may be one or more integrated circuits within a chamber that communicate with one or more remote integrated circuits (e.g., at the platform level or as part of a remote computer) that combine to control processes within the chamber.

In addition to the silicon carbide deposition and processing described herein, example systems may also include plasma etch chambers or modules, deposition chambers or modules, spin clean chambers or modules, metal plating chambers or modules, clean chambers or modules, bevel edge etch chambers or modules, Physical Vapor Deposition (PVD) chambers or modules, Chemical Vapor Deposition (CVD) chambers or modules, Atomic Layer Deposition (ALD) chambers or modules, Atomic Layer Etch (ALE) chambers or modules, ion implantation chambers or modules, track chambers or modules, and any other semiconductor processing system that may be associated with or used in the preparation and/or fabrication of semiconductor wafers.

As described above, depending on the process step or steps to be performed by the tool, the controller may communicate with one or more other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, tools located throughout the factory, a host computer, another controller, or tools used in the handling of containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing facility.

The apparatus/processes described above may be used in conjunction with lithographic patterning tools or processes, for example, for the preparation or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, although not necessarily, these tools/processes will be used or operated together in a common manufacturing facility. Photolithographic patterning of films typically involves some or all of the following operations, each enabling multiple viable tools: (1) applying a photoresist on a workpiece, i.e., a substrate, using a spin-coating or spray-coating tool; (2) curing the photoresist using a hot plate or oven or a UV curing tool; (3) exposing the photoresist to visible or ultraviolet light or an x-ray lamp using a tool such as a wafer stepper; (4) developing the resist to selectively remove the resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern to an underlying film or workpiece by using a dry or plasma assisted etch tool; and (6) removing the resist using a tool such as a radio frequency or microwave plasma resist stripper.

Applications of

The present disclosure may be further understood by reference to the following application to high quality silicon carbide films, including densified silicon carbide films, where application is meant purely as an example. The invention is not limited in scope by the specific application, which is merely a brief description of aspects of the disclosure.

In some embodiments, a silicon carbide film may be deposited on the exposed copper. The reaction conditions adjacent the substrate may be free of an oxidizing agent (e.g., O) during deposition of the silicon carbide film2,O3And CO2) Including their free radicals. Thus, the silicon carbide film can be deposited directly on the exposed copper without oxidizing the copper (e.g., generating copper oxide). Such a film may act as an etch stop layer, which may also act as a copper diffusion barrier layer. The presence of the silicon carbide film can provide a sufficiently low dielectric constant with excellent leakage characteristics to be used as a diffusion barrier. The silicon carbide film itself, either as a bilayer stack (e.g., a silicon carbide/SiCN bilayer deposited on exposed copper) or as a graded film (e.g., a graded SiCO film) or a stack of layers (e.g., a multilayer SiCO film) can be an etch stop and/or a diffusion barrier. In some embodiments, a silicon carbide film may be placed between adjacent metallization layers that are typically produced by a damascene process. The silicon carbide film is resistant to etching and can be sufficiently dense to minimize diffusion of copper ions into adjacent regions of the dielectric material. In some embodiments, the precursors used for the silicon carbide film may be acyclic. The acyclic precursor may include PMDSO or TMDSO. The non-cyclic precursor may provide a sufficiently high density to act as a seal or diffusion barrier. In some embodiments, nitrogen may be incorporated into the film by using a nitrogen-containing precursor or plasma activated nitrogen-containing radicals (e.g., elemental nitrogen radicals or amine radicals).

In some embodiments, the silicon carbide film may be deposited as a vertical structure adjacent to the metal or semiconductor structure. The deposition of silicon carbide provides excellent step coverage along the sidewalls of the metal or semiconductor structure to create a vertical structure. In certain embodiments, the vertical structures may be referred to as spacers or pads. Figure 1B shows a cross-section of a silicon carbide liner deposited on sidewalls of a gate electrode structure of a transistor. As shown in fig. 1B, the transistor may be a CMOS transistor having a silicon substrate 110, the silicon substrate 110 having a source 112 and a drain 113. A gate dielectric 114 may be deposited on the silicon substrate 110 and a gate electrode 115 may be deposited on the gate dielectric to form a transistor. A silicon carbide liner 111 may be deposited on the sidewalls of the gate electrode 115 and the gate dielectric 114. In another example, fig. 1C shows a cross-section of silicon carbide deposited on the sidewalls of exposed copper lines in an air gap type metallization layer. Air gaps 120 may be introduced into the integrated circuit layer between copper lines 122 so that the effective k value of the layer may be reduced. Silicon carbide pads 121 may be deposited on the sidewalls of the copper lines 122 and a non-conformal dielectric layer 123 may be deposited on the air gaps 120, the pads 121, and the copper lines 122. Examples of such air gap type metallization layers may be described in U.S. patent publication No.2004/0232552 to Fei Wang et al, which is incorporated by reference herein in its entirety for all purposes.

In some embodiments, a silicon carbide film may be deposited on the sidewalls of the patterned porous dielectric material. The ultra-low k dielectric material may be made of a porous structure. The pores in such materials may provide an inlet region for metal during deposition of subsequent layers, including deposition of a diffusion barrier layer comprising a metal such as tantalum (Ta). If too much metal migrates into the dielectric material, the dielectric material may provide a short circuit between adjacent copper metallization lines. Fig. 1D shows a cross-section of silicon carbide as a pore sealant for a porous dielectric material. The porous dielectric layer 132 may have a plurality of trenches or vias cut into the porous dielectric layer 132 to form the holes 130. Silicon carbide 131 may be deposited along the aperture 130 to effectively seal the aperture 130. Sealing the pores 130 with silicon carbide 131 may avoid damage to the porous dielectric layer 132 that would otherwise be caused by other sealing techniques using plasma. Silicon carbide 131 may be sufficiently dense as a pore sealant and may include acyclic silicon-containing precursors such as PMDSO and TMDSO. In some embodiments, the etched dielectric material, such as porous dielectric layer 132, may first be treated by a "k-recovery" process that exposes porous dielectric layer 132 to UV radiation and a reducing agent. This recovery process is further described in commonly owned U.S. patent publication No.2011/0111533 to vararajan et al, which is incorporated by reference herein in its entirety for all purposes. In another "k-recovery" process, porous dielectric layer 132 may be exposed to UV radiation and a chemical silylating agent. This recovery process is further described in commonly owned U.S. patent publication No.2011/0117678 to vararajan et al, which is incorporated by reference herein in its entirety and for all purposes. After the pores 130 are exposed to the healing process, thereby rendering the surface more hydrophilic and providing a monolayer of material, a layer of conformally deposited silicon carbide 131 may be deposited to effectively seal the pores of the porous dielectric layer 132.

In some embodiments, the silicon carbide film may be deposited as the ultra-low k dielectric material itself. Ultra-low k dielectrics are generally defined as those materials that have a dielectric constant that is lower than 2.5. In such a configuration, the ultra low k dielectric material of silicon carbide may be a porous dielectric layer. The pores of the dielectric layer may be introduced by using cyclic or cage precursor molecules including cyclic siloxanes and silsesquioxanes. In one example, the porosity of the ultra-low k dielectric layer of silicon carbide may be between about 20% and 50%. Additionally, the ultra-low k dielectric layer may have an average pore size of less than about 100 angstroms, such as between about 5 angstroms and 20 angstroms. For example, the cyclosiloxane ring may have a radius of about 6.7 angstroms. While increasing the number and size of the pores may reduce the dielectric constant, the mechanical integrity of the dielectric layer may be compromised if it is too porous.

Although the foregoing details have been described for purposes of clarity and understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatuses described. The described embodiments are, therefore, to be considered in all respects as illustrative and not restrictive.

35页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:晶圆处理方法及晶圆处理装置

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类