Chamber conditioning for remote plasma processing

文档序号:193800 发布日期:2021-11-02 浏览:33次 中文

阅读说明:本技术 用于远程等离子体处理的室调节 (Chamber conditioning for remote plasma processing ) 是由 王德琪 刘刚 阿南德·查德拉什卡 杨宗翰 约翰·W·格里斯沃尔德 于 2017-12-19 设计创作,主要内容包括:本发明涉及用于远程等离子体处理的室调节。本文描述的方法、系统和装置涉及用于远程等离子体处理的室调节,特别地涉及远程的基于氮的等离子体处理。本公开的某些实现方式涉及用于特征填充的包括室调节的远程等离子体抑制处理。本公开的实施方式涉及在衬底(诸如半导体晶片)的基于氮的远程等离子体处理之前将远程等离子体处理室暴露于氟物质。晶片内均匀性和晶片间均匀性得到改善。(The invention relates to chamber conditioning for remote plasma processing. The methods, systems, and apparatus described herein relate to chamber conditioning for remote plasma processing, and in particular to remote nitrogen-based plasma processing. Certain implementations of the present disclosure relate to remote plasma suppression processes including chamber conditioning for feature filling. Embodiments of the present disclosure relate to exposing a remote plasma processing chamber to fluorine species prior to nitrogen-based remote plasma processing of a substrate, such as a semiconductor wafer. Within-wafer uniformity and wafer-to-wafer uniformity are improved.)

1. A method, comprising:

performing a conditioning process on a remote plasma processing chamber, the conditioning process comprising:

introducing a fluorine-containing gas into the plasma generator to produce a fluorine-containing conditioning plasma;

introducing the fluorine-containing conditioning plasma into the remote plasma processing chamber, wherein the remote plasma processing chamber comprises a substrate support and a showerhead disposed between the substrate support and the plasma generator, and wherein no production substrate is present in the remote plasma processing chamber during the conditioning process;

introducing a fabrication substrate into the remote plasma processing chamber after performing the conditioning process; and

exposing the fabrication substrate to a remotely generated nitrogen-based plasma.

2. The method of claim 1, wherein the fabrication substrate comprises one or more features to be filled.

3. The method of claim 1, wherein the remotely generated nitrogen-based plasma is formed from N2Gas is generated.

4. The method of claim 1, wherein the remotely generated nitrogen-based plasma is generated from a nitrogen-containing compound, and the conditioning process further comprises: introducing the nitrogen-containing compound into the plasma generator to generate a fluoride-free nitrogen-based conditioning plasma, and introducing the fluoride-free nitrogen-based conditioning plasma into the remote plasma processing chamber.

5. The method of any one of claims 1 to 4, further comprising: one or more additional manufacturing substrates are sequentially introduced into the remote plasma processing chamber, and each additional manufacturing substrate is exposed to a remotely generated nitrogen-based plasma.

6. The method of claim 5, wherein at least three fabrication substrates are introduced to the remote plasma processing chamber before another conditioning process is performed.

7. The method of any of claims 1-4, wherein the remotely generated nitrogen-based plasma selectively inhibits nucleation proximate a feature opening relative to an interior of the feature.

8. The method of claim 6, further comprising: in the feature, tungsten or cobalt is selectively deposited within the interior of the feature relative to the vicinity of the feature opening.

9. The method of any of claims 1-4, wherein the conditioning treatment comprises forming a fluorine-containing layer on one or more chamber components.

10. The method of any of claims 1-4, wherein the conditioning process comprises forming an aluminum fluoride layer on one or more chamber components.

Technical Field

The present invention relates to semiconductor manufacturing processes, and more particularly to chamber conditioning for remote plasma processing.

Background

Filling contact holes, trench lines, and other features with various materials is an integral part of the semiconductor fabrication process. For example, to form horizontal interconnects, vias between adjacent metal layers, contacts between a first metal layer and a device, a metal such as tungsten may be deposited using a Chemical Vapor Deposition (CVD) technique. In a conventional deposition process, a substrate is heated to a predetermined process temperature in a deposition chamber and a thin layer of tungsten-containing material is deposited that serves as a seed or nucleation layer. Thereafter, the remaining tungsten-containing material (bulk layer) is deposited on the nucleation layer. Conventionally, by using hydrogen (H)2) Reduction of tungsten hexafluoride (WF)6) To form a tungsten-containing material. A tungsten-containing material is deposited over the entire exposed surface area of the substrate, including the features and field regions.

Depositing material into small and high aspect ratio features can result in the formation of voids and seams within the filled features. Large seams can lead to high electrical resistance, contamination, loss of fill material, and otherwise degrade the performance of the integrated circuit. For example, the seam may extend close to the field region after the filling process and then open during chemical mechanical planarization. Also, voids may cause integration and performance problems.

Disclosure of Invention

One aspect of the present disclosure relates to a method of performing a conditioning process on a remote plasma processing chamber, the conditioning process comprising: introducing a fluorine-containing gas into the plasma generator to produce a fluorine-containing conditioning plasma; introducing the fluorine-containing conditioning plasma into the remote plasma processing chamber, wherein the remote plasma processing chamber comprises a substrate support and a showerhead disposed between the substrate support and the plasma generator, and wherein no production substrate is present in the remote plasma processing chamber during the conditioning process; introducing a fabrication substrate into the remote plasma processing chamber after performing the conditioning process; and exposing the fabrication substrate to a remotely generated nitrogen-based plasma. In some embodiments, the fabrication substrate includes one or more features to be filled.

In some embodiments, from N2The gas generates the remotely generated nitrogen-based plasma. In some embodiments, the remotely generated nitrogen-based plasma is generated from a nitrogen-containing compound, and conditioning processing further includes introducing the nitrogen-containing compound into a plasma generator to generate a fluoride-free nitrogen-based conditioning plasma, and introducing the fluoride-free nitrogen-based conditioning plasma into the remote plasma processing chamber.

In some embodiments, the method further comprises sequentially introducing one or more additional manufacturing substrates into the remote plasma processing chamber and exposing each additional manufacturing substrate to a remotely generated nitrogen-based plasma. For example, at least three manufacturing substrates may be introduced into the remote plasma processing chamber before another conditioning process is performed.

In some embodiments, the remotely generated nitrogen-based plasma selectively suppresses nucleation near the feature opening relative to the interior of the feature. In some such embodiments, the method may further comprise: in the features, tungsten or cobalt is selectively deposited inside the features relative to the vicinity of the feature openings. In some embodiments, the conditioning process can further include forming a fluorine-containing layer on the one or more chamber components. In some embodiments, the conditioning process can further include forming an aluminum fluoride layer on the one or more chamber components.

Another aspect of the disclosure relates to a method comprising performing a plurality of conditioning and processing cycles to sequentially process a plurality of substrates in a remote plasma processing chamber. Each cycle may include: a) performing an adjustment process comprising: i) introducing a fluorine-containing gas into the plasma generator to produce a fluorine-containing conditioning plasma; and ii) introducing the fluorine-containing conditioning plasma into the remote plasma processing chamber, wherein the remote plasma processing chamber comprises a substrate support and a showerhead disposed between the substrate support and the plasma generator, and wherein no production substrate is present in the remote plasma processing chamber during the conditioning process; b) performing a plurality of nitrogen plasma exposure treatments after the conditioning treatment and before repeating the conditioning treatment, wherein each nitrogen plasma exposure treatment comprises: i) introducing a fabrication substrate into the remote plasma processing chamber; ii) exposing the production substrate to a remotely generated nitrogen-based plasma; and iii) removing the fabrication substrate from the remote plasma processing chamber.

In some embodiments, from N2The gas generates a remotely generated nitrogen-based plasma. In some embodiments, the remotely generated nitrogen-based plasma is generated from a nitrogen-containing compound, and the conditioning process further comprises introducing the nitrogen-containing compound into a plasma generator to generate a fluoride-free nitrogen-based conditioning plasma, and introducing the fluoride-free nitrogen-based conditioning plasma into the remote plasma processing chamber. In some casesIn an embodiment, the method further comprises: one or more additional fabrication substrates are sequentially introduced into the remote plasma processing chamber, and each additional fabrication substrate is exposed to a remotely generated nitrogen-based plasma. For example, at least three manufacturing substrates can be introduced into the remote plasma processing chamber before another conditioning process is performed. In some embodiments, the remotely generated nitrogen-based plasma selectively suppresses nucleation near the feature opening relative to the interior of the feature. In some such embodiments, the method may further include selectively depositing tungsten or cobalt in the feature relative to the vicinity of the feature opening, within the feature. In some embodiments, the conditioning process can further include forming a fluorine-containing layer on the one or more chamber components. In some embodiments, the conditioning process can further include forming an aluminum fluoride layer on the one or more chamber components.

Another aspect of the present disclosure relates to a method of conditioning a remote plasma processing chamber by exposing the remote plasma processing chamber to atomic fluorine; introducing a substrate having one or more features into the remote plasma processing chamber after conditioning the remote plasma processing chamber, each feature comprising a feature opening; exposing the substrate to nitrogen radicals; selectively inhibiting nucleation on a surface of the one or more features at or near the feature opening, thereby forming a differential inhibition profile in each feature; and depositing material in the feature according to the differential suppression profile. Examples of materials include tungsten and cobalt. In some embodiments, the material is deposited in a remote plasma processing chamber. In some embodiments, the material is deposited in a chamber separate from the remote plasma processing chamber.

In particular, some aspects of the invention may be set forth as follows:

1. a method, comprising:

performing a conditioning process on a remote plasma processing chamber, the conditioning process comprising:

introducing a fluorine-containing gas into the plasma generator to produce a fluorine-containing conditioning plasma;

introducing the fluorine-containing conditioning plasma into the remote plasma processing chamber, wherein the remote plasma processing chamber comprises a substrate support and a showerhead disposed between the substrate support and the plasma generator, and wherein no production substrate is present in the remote plasma processing chamber during the conditioning process;

introducing a fabrication substrate into the remote plasma processing chamber after performing the conditioning process; and

exposing the fabrication substrate to a remotely generated nitrogen-based plasma.

2. The method of clause 1, wherein the fabrication substrate comprises one or more features to be filled.

3. The method of clause 1, wherein the remotely generated nitrogen-based plasma is formed from N2Gas is generated.

4. The method of clause 1, wherein the remotely generated nitrogen-based plasma is generated from a nitrogen-containing compound, and the conditioning process further comprises: introducing the nitrogen-containing compound into the plasma generator to generate a fluoride-free nitrogen-based conditioning plasma, and introducing the fluoride-free nitrogen-based conditioning plasma into the remote plasma processing chamber.

5. The method of any of clauses 1-4, further comprising: one or more additional manufacturing substrates are sequentially introduced into the remote plasma processing chamber, and each additional manufacturing substrate is exposed to a remotely generated nitrogen-based plasma.

6. The method of clause 5, wherein at least three fabrication substrates are introduced to the remote plasma processing chamber prior to performing another conditioning process.

7. The method of any of clauses 1-4, wherein the remotely generated nitrogen-based plasma selectively inhibits nucleation proximate a feature opening relative to an interior of the feature.

8. The method of clause 6, further comprising: in the feature, tungsten or cobalt is selectively deposited within the interior of the feature relative to the vicinity of the feature opening.

9. The method of any of clauses 1-4, wherein the conditioning treatment comprises forming a fluorine-containing layer on one or more chamber components.

10. The method of any of clauses 1-4, wherein the conditioning process comprises forming an aluminum fluoride layer on one or more chamber components.

11. A method, comprising:

performing a plurality of conditioning and processing cycles to sequentially process a plurality of substrates in a remote plasma processing chamber, wherein each cycle comprises:

a) performing an adjustment process comprising:

i) introducing a fluorine-containing gas into the plasma generator to produce a fluorine-containing conditioning plasma; and

ii) introducing the fluorine-containing conditioning plasma into the remote plasma processing chamber, wherein the remote plasma processing chamber comprises a substrate support and a showerhead and the showerhead is disposed between the substrate support and the plasma generator, and wherein no production substrate is present in the remote plasma processing chamber during the conditioning process; and

b) performing a plurality of nitrogen plasma exposure processes after the conditioning process and before repeating the conditioning process, each of the nitrogen plasma exposure processes comprising:

i) introducing a fabrication substrate into the remote plasma processing chamber;

ii) exposing the production substrate to a remotely generated nitrogen-based plasma; and

iii) removing the production substrate from the remote plasma processing chamber.

12. The method of clause 11, wherein the fabrication substrate comprises one or more features to be filled.

13. The method of clause 11, wherein the remotely generated nitrogen-based plasma is formed from N2Gas is generated.

14. The method of any of clauses 11-13, wherein the remotely generated nitrogen-based plasma is generated from a nitrogen-containing compound, and the conditioning process further comprises: introducing the nitrogen-containing compound into the plasma generator to generate a fluoride-free nitrogen-based conditioning plasma, and introducing the fluoride-free nitrogen-based conditioning plasma into the remote plasma processing chamber.

15. A method, comprising:

conditioning a remote plasma processing chamber by exposing the remote plasma processing chamber to atomic fluorine;

introducing a substrate having one or more features into the remote plasma processing chamber after conditioning the remote plasma processing chamber, each feature comprising a feature opening;

exposing the substrate to nitrogen radicals;

selectively inhibiting nucleation of the one or more features on a surface at or near the feature opening, thereby forming a differential inhibition profile in each feature; and

depositing material in the feature according to the differential suppression profile.

16. The method of clause 15, wherein the material is tungsten or cobalt.

17. The method of clause 15 or 16, wherein the material is deposited in the remote plasma processing chamber.

18. The method of clause 15 or 16, wherein the material is deposited in a chamber separate from the remote plasma processing chamber.

These and other aspects of the disclosed embodiments are described in further detail with reference to the corresponding figures.

Drawings

FIG. 1 shows an example of a schematic representation of a feature filling sequence that may employ remote plasma processing.

Fig. 2 and 3 show schematic examples of an apparatus for remote plasma processing.

Fig. 4 is a flow diagram illustrating an example of a method of remote plasma processing, according to some embodiments.

Fig. 5 is a flow chart illustrating an example of a chamber conditioning method for a remote plasma processing chamber.

Fig. 6 is a graph showing tungsten (W) growth delay on a substrate after various chamber conditioning processes.

FIG. 7 is a graph illustrating wafer-to-wafer uniformity before and without a fluorine conditioning operation prior to a nitrogen-based process.

Figure 8 is a graph illustrating within-wafer uniformity before and without a fluorine conditioning operation prior to nitrogen-based processing.

Figure 9 is a graph illustrating wafer-to-wafer uniformity before and without periodic fluorine conditioning operations of a plurality of wafers.

Fig. 10 is a flow diagram illustrating an example of a method of filling a feature with a material, according to some embodiments.

Fig. 11A shows a schematic example of a multi-station apparatus.

FIG. 11B shows a schematic example of a multi-chamber device.

Detailed Description

In the following description, numerous specific details are set forth in order to provide a thorough understanding of the disclosed embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the disclosed embodiments. Although the disclosed embodiments will be described in conjunction with specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.

The methods, systems, and apparatus described herein relate to chamber conditioning for remote plasma processing, particularly nitrogen-based remote plasma processing. Certain implementations of the present disclosure relate to remote plasma suppression processes for feature filling including chamber conditioning. However, it should be understood that the chamber conditioning methods described herein may be used in various other applications.

Filling the features with material may result in the formation of voids and seams within the filled features. Voids are unfilled areas in a feature. Voids may be formed, for example, when the deposited material forms pinch points (pinch points) within the feature, thereby closing unfilled spaces within the feature and preventing reactants from entering and depositing. A seam is an elongated region in a feature, which is characterized by elongated voids, a series of smaller voids, or grain boundaries.

Voids and seams are formed for a variety of possible reasons. One is an overhang (overhang) at the feature opening. The overhang may be formed from a previous layer (e.g., a diffusion barrier layer) or in an initial stage of deposition of the fill material. Another cause of void or seam formation is the curved or arcuate sidewalls of the feature hole, which is also referred to as an arcuate feature. In a feature with an overhang or in an arcuate feature, the cross-sectional dimension of the cavity to be filled near the opening is smaller than the cross-sectional dimension inside the feature. Shrinkage within the features also presents challenges for filling.

Even if void-free filling is achieved, the seam may pass through the central axis of the via, trench, line, or other feature. This is because growth may start at the sidewalls until the grains (grain) contact as they grow from the opposite sidewall. The seam may allow for trapping of impurities including fluorine-containing compounds, such as hydrofluoric acid (HF). During Chemical Mechanical Planarization (CMP), intracrystalline segregation (spring) may propagate from the seams. According to various embodiments, the methods described herein may reduce or eliminate void and seam formation.

Embodiments of the present disclosure relate to preferentially inhibiting film growth at portions of a feature. This preferential suppression, which may also be referred to as selective suppression, selective passivation, differential suppression, or differential passivation, includes suppressing nucleation at one portion of the feature, while not suppressing nucleation (or to a lesser extent suppressing nucleation) at the remaining portion of the feature. For example, in some embodiments, features are preferentially inhibited at feature openings, while further nucleation is not inhibited inside the features. In some embodiments, features are preferentially inhibited where the features shrink, while further nucleation within the features is not inhibited.

Selective inhibition is described further below, and may involve, for example, selectivelyA portion of the feature is exposed to an activating species of the plasma. In certain embodiments, for example, the feature openings are selectively exposed to molecular nitrogen (N)2) The plasma generated. As discussed further below, a desired suppression profile in the feature may be formed by appropriate selection of one or more of the suppression chemistry, substrate bias power, plasma power, process pressure, exposure time, and other process parameters.

FIG. 1 shows an example of a schematic representation of a feature filling sequence that may employ remote plasma processing. In the example of fig. 1, a tungsten (W) deposition is shown for fabricating a Word Line (WL). The WL structure 100 is provided with a liner layer surface 102. Liner surface 102 may be, for example, titanium nitride (TiN) or tungsten nitride (WN). Next, a W nucleation layer 104 is conformally deposited on the liner layer 102 throughout the structure 100. Note that in some processes, this operation of depositing the conformal nucleation layer may be omitted. Next, the structure is exposed to an inhibition chemistry to selectively inhibit the portion 106 of the structure 100. In this example, the portion 104a beyond the post constriction 151 is not restrained. As shown in the figure, inhibition involves exposure to a compound such as N2Forming a gas (N)2+H2) Or NH3Such as a gas-generated remotely-generated plasma.

Next, a Chemical Vapor Deposition (CVD) process is performed to selectively deposit tungsten according to the suppression profile: bulk tungsten 108 is preferentially deposited on the unsuppressed portions 104a of the nucleation layer so that difficult-to-fill areas behind the constriction are filled and not deposited on the suppressed portions 106. The remainder of the feature is then filled with bulk tungsten 110. The same CVD process used to selectively deposit tungsten may be used for the remainder of the feature, and a different CVD process using a different chemistry or process conditions and/or performed after the deposition of the nucleation layer may be used.

FIG. 1 is an example of a feature filling process that may use a nitrogen-based remote plasma. Other examples may be found in U.S. patent publication nos. 20130171822, 20160056077, and 20160190008, and U.S. patent No.9,349,637, which are incorporated herein by reference. Specifically, U.S. patent publication nos. 2013017182 and 20160190008 are incorporated by reference for purposes of describing methods of tungsten feature filling using a nitrogen-based remote plasma, and U.S. patent publication nos. 20160056077 and 9,349,637 are incorporated by reference for purposes of describing methods of cobalt feature filling using a nitrogen-based remote plasma. Embodiments of the present disclosure include feature filling of these and other materials using a nitrogen-based remote inhibition process.

Fig. 2 and 3 show schematic examples of an apparatus for remote plasma processing. First, in FIG. 2, the apparatus 200 includes a chamber 218 having a pedestal 220, a showerhead 214, and a remote plasma generator 206. In some embodiments, the apparatus may include an in-situ plasma generator (not shown). The apparatus 200 also includes a system controller 222 for receiving input and/or supplying control signals. The system controller is described further below.

A gas (e.g., nitrogen-based gas, fluorine-containing gas, inert gas (such as argon, helium, etc.) is supplied to the remote plasma generator 206 as a process gas from one or more sources 202, which one or more sources 202 may be one or more tanks. Any suitable remote plasma generator may be used to activate the process gas prior to introducing the process gas into the chamber 218. For example, a Remote Plasma Cleaning (RPC) unit may be used, such as is all available from MKS Instruments of Andorff, Massi Type AX7670、eType AX7680、ex Type AX7685、hf-s Type AX 7645. RPC units typically use a supplied process gas to produce weak ionizationA stand alone device for plasma.

In some embodiments, the process gas flows from the remote plasma generator 206 through the connection 208 into the chamber 218, where the mixture is dispensed through the showerhead 214. In certain embodiments, one or more inert gas sources 212 may be coupled to the mixing bowl 210 and then mixed with the plasma species downstream of the remote plasma generator 206.

The chamber 218 may include sensors 224 for sensing various process parameters (e.g., degree of deposition or etching, concentration, pressure, temperature, etc.). The sensor 224 can provide information about the chamber conditions to the system controller 222 during processing. Examples of sensors 224 include mass flow controllers, pressure sensors, thermocouples, and the like. The sensor 224 may also include an infrared detector or optical detector to monitor the presence of gases in the chamber and control measures.

Various volatile materials can be expelled from the chamber 218. Also, processing is performed in chamber 218 at some predetermined pressure level. A vacuum outlet 226, which may be a vacuum pump, may be used to control the pressure and exhaust the gases as the case may be.

The plasma species generated in the remote plasma generator may include ionic species and neutral species (e.g., atoms and radicals). The showerhead 214 may effectively block the flow of ionic species so that only neutral species enter the chamber 218. The showerhead may be an aluminum (Al) showerhead or an aluminum-containing showerhead.

Fig. 3 illustrates another example apparatus for remote plasma processing, in accordance with certain disclosed embodiments. FIG. 3 schematically illustrates a cross-sectional view of an inductively coupled plasma etching apparatus 390 suitable for practicing certain embodiments herein, an example of which is produced by Lam Research Corp. of Fremont, CalifMax ExtremeFillTMA reactor. Although an ICP reactor is described herein, in some embodiments, it should be understood that a capacitively coupled plasma reactor may also be used.

Inductively coupled plasma device 390 includes an entire processing chamber structurally defined by chamber wall 391 and dome 392 for igniting a plasma. The chamber wall 391 may be made of stainless steel or Al. The components for plasma generation include a coil 394, the coil 394 being positioned around the dome 392 and above the showerhead 395. In some embodiments, no coil is used. The coil 394 is made of an electrically conductive material and includes at least one complete turn. The example of the coil 394 shown in fig. 3 includes three turns. The cross section of coil 394 is symbolized and the coil with the "X" extends rotationally into the page, while the coil with the "●" extends rotationally out of the page. The elements for plasma generation also include an RF power source 341 configured to supply RF power to the coil 394. Generally, the RF power source 341 is connected to the matching circuit 339 through connection 345. The matching circuit 339 is connected to the coil 394 through connection 333. In this manner, the RF power source 341 is connected to the coil 394. Radio frequency power is supplied to the coil 394 from the RF power source 341 so that RF current flows through the coil 394. The RF current flowing through coil 394 generates an electromagnetic field around coil 494. The electromagnetic field creates an inductively coupled plasma within the dome 392, thereby generating ionic and neutral species (e.g., radicals).

The RF power source 341 may provide RF power at any suitable frequency. In some embodiments, the RF power source 341 may be configured to control the high and low frequency RF power sources independently of each other.

The showerhead 395 distributes process gas toward the substrate 397. In the embodiment shown in FIG. 3, substrate 397 is located below showerhead 395 and is shown resting on pedestal 396. The showerhead 395 may have any suitable shape and may have any suitable number and arrangement of ports for distributing process gases to the substrates 397. As in the example of fig. 2, the showerhead 395 may function as an ion filter, allowing only neutral species to reach the substrate 397. The spray head can be an Al spray head or an aluminum-containing spray head. The pedestals 396 are configured to receive and hold a substrate 397.

A gas (e.g., a nitrogen-containing gas, an inert gas, or a fluorine-containing gas) may flow into the process chamber through one or more main gas flow inlets 393 located in the dome and/or through one or more side gas flow inlets (not shown)). Similarly, although not explicitly shown, a similar gas flow inlet may be used to supply process gas to the capacitively-coupled plasma processing chamber. In some embodiments for capacitively-coupled plasma processing chambers, gases may be injected through the showerhead through the center and/or edge of the showerhead. A vacuum pump (e.g., one or two stage mechanical dry pump and/or turbomolecular pump 398a) may be used to draw process gases out of the process chamber 391 and maintain the pressure within the process chamber 391. Valve-controlled conduits may be used to fluidly connect a vacuum pump to the processing chamber 391 to selectively control the application of the vacuum environment provided by the vacuum pump. This may be accomplished using a closed loop control flow restriction device, such as a throttle valve (not shown) or a swing valve (not shown), during operation of the plasma process. Similarly, a vacuum pump and valve-controlled fluid connection to a capacitively-coupled plasma processing chamber may also be used. Volatile byproducts can be removed from the process chamber 391 through port 398 b. The apparatus 390 further includes a system controller 399 for receiving input and/or providing control signals. The system controller is described further below.

Fig. 4 illustrates a general process flow diagram of a method 400 representing remote plasma processing, in accordance with certain embodiments. First, the chamber is adjusted (conditioning). Block 401. The method of conditioning the chamber is described further below. Examples of chambers are described above with respect to fig. 2 and 3. In the example of fig. 4, the chamber is devoid of wafers or other substrates to be processed. In some embodiments, a dummy substrate (also referred to as a test substrate) that does not require additional processing may be positioned in the chamber. The term "fabrication substrate" is used herein to distinguish wafers and other substrates undergoing processing to fabricate devices (e.g., semiconductor logic and memory devices) from dummy or test substrates.

The nth substrate is then positioned in the chamber (block 403), the first substrate after chamber conditioning being n-1. As described further below, every n may be providedmaxThe individual substrates perform chamber conditioning. The nth substrate is then exposed to a remotely generated nitrogen-based plasma. (block 405). Nitrogen-based plasmas are those in which the primary chemically reactive species is nitrogen (usually free-radical with nitrogen)Form exist) plasma. Other plasma species (e.g., inert gas species) may be present. In some implementations, non-inert components other than nitrogen radicals are not present in the plasma generating gas except for the presence of trace amounts of the non-inert components. In some embodiments, the nitrogen-based plasma is halogen-free. In many embodiments, the nitrogen-based plasma is formed from N2Gas formation, but other nitrogen gases may be used, e.g. forming gas, NH3Or N2H2. The nth substrate is removed from the chamber. (block 407). It should be noted that in some embodiments, various other processing operations may be performed while the nth substrate is in the chamber. These include deposition of material on the substrate or etching of the deposited material.

At decision block 409, a determination is made whether n-nmax. If not, the process returns to block 403 where another substrate is placed in the chamber. If n is equal to nmaxThen at decision block 411 a determination is made whether more substrates are to be processed. If so, the process returns to block 401 for chamber conditioning. Otherwise, the process is complete and all substrates in operation are processed.

Chamber conditioning involves exposing the chamber to a remotely generated fluorine-containing plasma. Such as nitrogen trifluoride (NF)3) Fluorine (F)2) Sulfur hexafluoride (SF)6) Or carbon tetrafluoride (CF)4) Such as a fluorine-containing gas, may be supplied to the remote plasma generator. A fluorine species, typically a neutral species such as atomic fluorine, conditions the chamber. In some embodiments, the plasma of the conditioning chamber is free of ionic species. Species generated from the inert gas may be present.

In some embodiments, the chamber conditioning treatment comprises exposure to a remotely generated fluorine-free nitrogen plasma before and/or after exposure to the fluorine-containing plasma. Fig. 5 is a flow chart illustrating an example of a method 500 for chamber conditioning of a remote plasma processing chamber that includes these operations. Exposing the chamber to a gas comprising N2A gas remotely generated plasma. Block 501. Although N is provided in FIG. 52By way of example, but in FIG. 5The same gases used for remote nitrogen-based plasma processing of the substrate (e.g., block 405 in fig. 4) are used in blocks 501 and 505. Thus, in other embodiments, the plasma in these frames may be generated from other nitrogen-containing gases as described above. Next, the chamber is exposed to a plasma generated remotely from the F-containing gas. Block 503. The chamber is then exposed to remotely generated N2Plasma is generated. Block 505. Typically, the duration of block 505 is shorter than the duration of blocks 501 or 503. Operations in method 500 are further described below with reference to fig. 6-8.

As indicated above with respect to fig. 1, in some embodiments, N is used2The plasma inhibits tungsten or cobalt growth. Fig. 6 is a graph showing tungsten (W) growth delay on a substrate after various chamber conditioning processes. Remote plasma processing chamber conditioning in the absence of a substrate, followed by exposing the substrate to N in the remote plasma processing chamber2Remote plasma (i.e., from N)2Gas remotely generated plasma) followed by exposing the substrate to a tungsten deposition chemistry such as WF6/H2. Measuring tungsten growth retardation, i.e. N2A measure of plasma processing efficiency.

Three chamber conditioning protocols were performed: (1) n is a radical of2/NF3,(2)N2/NF3/N2(short), and (3) N only2. N only2The processing results in very low growth delay. In contrast, NF3Treatment significantly increased growth delay (from less than 10s to over 100 s).

Without being bound by a particular theory, it is believed that the fluorine reacts with and forms a passivation layer, such as aluminum fluoride (AlF), on the component in the chamber3). Nitrogen radicals (N) for use in nitrogen-based remote plasma processing without such passivation layer*) May be lost due to interaction with the showerhead and, in some cases, other components of the chamber. Returning to FIG. 6, at NF3Then short N2Plasma reduction of N2Plasma efficacy as measured by W growth retardation. However, in some embodiments, at NF3Then short N2The plasma may help to return the chamber to, for example, at N2Those conditions experienced during plasma processing. Wafer-to-wafer uniformity may be improved.

According to various embodiments, the chamber conditioning may passivate one or more of the showerhead, chamber walls, and other hardware components in the chamber. The surface of these components may contribute to the nitrogen radical loss rate, which leads to wafer-to-wafer non-uniformity as well as within-wafer non-uniformity. The result is a more uniform and stable flux of nitrogen radicals in a subsequent nitrogen-based remotely generated plasma processing operation. In addition, by controlling the rate of loss of nitrogen radicals, the modulation, along with other resulting effective variables (e.g., time, power, and temperature), can be used to control the total amount of inhibition on the substrate in a nitrogen inhibition process.

While the chamber conditioning described above uses remote plasma, in some embodiments, the conditioning process may employ a non-plasma treatment prior to the nitrogen-based remote plasma treatment operation. In some other embodiments, the conditioning process may employ a non-plasma process prior to the nitrogen-based remote plasma processing operation. For example, a thermal deposition process containing fluorine may be used to deposit a passivation layer on the chamber components prior to treatment with the nitrogen radicals. The passivation layer may be a fluorine-containing layer that limits N radical loss. In some embodiments, the wafer may be present in the chamber during the conditioning process if the conditioning process does not affect the wafer or if the chamber conditioning process can be used to process the wafer.

The processes described herein provide improved wafer-to-wafer uniformity and improved within-wafer (center-to-edge) uniformity. Fig. 7 is a graph illustrating wafer-to-wafer uniformity before and without a fluorine conditioning operation prior to nitrogen-based processing. Processing five wafers (remotely generated N)2Plasma + subsequent tungsten deposition) to produce each of curves 701 and 703. Curve 701 shows the process without fluorine (NF)3) Tungsten growth delay of five wafers processed with conditioning. Curve 703 represents the process conducted for the preparation of fluorine (NF)3) Tungsten growth delay was adjusted for five wafers post-processed. Not NF-free3The wafer-to-wafer non-uniformity (WtW Nu%) of the conditioned wafers was 18% compared to NF3The wafer-to-wafer non-uniformity of the wafers after conditioning was only 2%.

Fig. 8 is a graph illustrating within-wafer uniformity before and without a fluorine conditioning operation prior to a nitrogen-based process. Curve 801 shows a plot in the absence of fluorine (NF)3) Tungsten thickness of 300mm wafers processed with conditioning. Curve 803 shows that fluorine (NF) is being carried out3) The tungsten thickness on a 300mm wafer processed after conditioning. Within wafer non-uniformity (WiW Nu%) for no NF3The treated wafer was 6% in the case of conditioning, for NF3The wafers processed after conditioning are only 3%.

Referring back to FIG. 4, every n may be usedmaxOne wafer conditioning chamber at a time. Suitably nmaxWill depend on the chamber, the particular conditioning process, the particular process, and on the tolerances for inter-wafer non-uniformity and intra-wafer non-uniformity. In some embodiments, one may follow each wafer (so that n ismaxIs 1) a conditioning chamber. More generally, the chamber may be conditioned only once after remote plasma processing of multiple wafers (e.g., every 3, 4, 5, 7, 10, 15 wafers, etc.). Figure 9 is a graph illustrating wafer-to-wafer uniformity before and without periodic fluorine conditioning operations of a plurality of wafers. Processing 25 wafers (remotely generated N)2Plasma + subsequent tungsten deposition) to produce each of curves 901 and 903. Curve 901 shows the single fluorine (NF) content3) Tungsten growth delay was adjusted for twenty-five wafers processed after. Curve 903 represents fluorine (NF) for every five wafers3) Tungsten growth retardation of twenty-five wafers processed with conditioning. For NF not performing periodicity3Wafers processed with conditioning had an inter-wafer non-uniformity (WtW Nu%) of 36.5% for periodic NF3The wafer treated with conditioning had only 5.7% wafer-to-wafer non-uniformity.

Curve 901 of FIG. 9 also provides evidence that, in some embodiments, the remote production with fluorine does not endConditioning by the green plasma is useful. This is because there is a sharp drop in the tungsten growth delay from wafer 1 to wafer 7, which indicates that a certain amount of fluoride present on the chamber components consumes N*Free radicals, causing drift (drift) in the process. By using short N2Plasma termination chamber conditioning can mitigate this drift effect.

Examples of chambers that may be conditioned according to the methods described herein are described above with reference to fig. 2 and 3. As described above with respect to fig. 1, a remotely generated nitrogen-based plasma may be used to selectively inhibit the growth of tungsten, cobalt, or other materials in features. FIG. 10 is a flow chart illustrating an example of a method of filling a feature with a material, according to some embodiments. The methods described herein may be used to fill vertical features such as tungsten vias and horizontal features such as vertical nand (vnand) word lines. These methods can be used for conformal filling and bottom-up/inside-out filling. Examples of applications include logic and memory contact fill, DRAM buried wordline fill, vertically integrated memory gate and wordline fill, and 3-D integration using through silicon vias.

First, a remote plasma process is performed in a conditioned chamber to selectively inhibit deposition in the features. (block 1001). Block 1001 is performed in a chamber conditioned with a fluorine-containing compound as described above. In some embodiments, the chamber component includes a fluorine-containing passivation layer (e.g., AlF) on a surface thereof3). This operation creates a "suppression profile" in the feature, where deposition is preferentially suppressed at one or more locations of the feature relative to one or more other locations.

Next, selective deposition of material is performed according to the suppression profile. And (7) a block 1003. Block 1003 may include one or more Chemical Vapor Deposition (CVD) and/or Atomic Layer Deposition (ALD) processes, including thermal CVD processes, plasma enhanced CVD processes, and/or ALD processes. The deposition is selective because the material preferentially grows on the smaller and non-inhibited portions of the feature. In some implementations, block 1003 involves selectively depositing material in the bottom or interior portion of the feature until reaching or passing the constriction. After selective deposition according to the suppression profile, the method may continue with filling the remainder of the feature at block 1005. In some embodiments, block 1005 may involve continuing the CVD deposition process starting at block 1003. Such CVD processes may result in deposition on inhibited portions of features, where nucleation on inhibited portions occurs more slowly than nucleation on non-inhibited portions of features. In some implementations, block 1005 may involve depositing a nucleation layer on at least the suppressed portion of the feature.

For tungsten deposition, a tungsten precursor and a reducing agent may be used. Examples of precursors include tungsten hexafluoride (WF)6) Tungsten hexachloride (WCl)6) Tungsten pentachloride (WCl)5) Organometallic precursors and fluorine-free precursors (e.g., mdnov (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten) and ednov (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten)). In addition, while hydrogen may be used as the reducing agent in the deposition, other reducing agents including silanes may be used in addition to or in place of hydrogen. In another embodiment, tungsten hexacarbonyl (W (CO))6). In the CVD technique, WF is6And H2Or other reactants are introduced into the reaction chamber simultaneously. This produces a continuous chemical reaction of the mixed reactant gases to continuously form a tungsten film on the substrate surface. Methods of depositing tungsten films using CVD are described in U.S. patent application nos. 12/202,126, 12/755,248, and 12/755,259, which are incorporated herein by reference in their entirety for the purpose of describing tungsten deposition processes. According to various embodiments, the methods described herein are not limited to a particular method of filling features, but may include any suitable deposition technique. In certain embodiments, the nucleation layer is deposited using PNL techniques. In PNL technology, pulses of reducing agent, optional purge gas and tungsten-containing precursor may be sequentially injected into and purged from the reaction chamber. This process is repeated in a cyclic manner until the desired thickness is reached. PNL broadly embodies any cyclic process of continuously adding reactants for reaction on a semiconductor substrate, including ALD techniques. Nucleation for deposition of tungstenThe PNL technique of layers is described in U.S. patents 6,635,965, 7,589,017, 7,141,494, 7,772,114, 8,058,170 and 8,623,733 and U.S. patent publication No.20100267230, which are incorporated herein by reference in their entirety for the purpose of describing tungsten deposition processes

In some embodiments, block 1005 includes repeating blocks 1001 and 1003 one or more times. Further, in some embodiments, block 1005 may include a non-conformal etch, as described in U.S. patent publication No.20130302980, which is incorporated herein by reference in its entirety for the purpose of describing a non-conformal etch in a fill process.

Precursors useful for cobalt deposition are described in U.S. patent No.9,349,637, the entire contents of which are incorporated herein by reference for the purpose of describing cobalt feature filling.

CVD and ALD deposition of other materials may include the use of any suitable precursors. For example, CVD and ALD deposition of tungsten nitride may include the use of halogen-containing compounds and halogen-free tungsten-containing and nitrogen-containing compounds as described further below. CVD and ALD deposition of titanium-containing layers may include the use of precursors comprising titanium, examples of which include titanium tetrakis (dimethylamino) titanate (TDMAT) and titanium chloride (TiCl)4) And, if appropriate, one or more coreactants. CVD and ALD deposition of tantalum-containing layers may include the use of materials such as pentakis (dimethylaminotantalum) (PDMAT) and TaF5And, if appropriate, one or more coreactants. CVD and ALD deposition of cobalt-containing layers may include the use of precursors such as Tris (2,2,6,6-tetramethyl-3,5-heptanedionato) cobalt (Tris (2,2,6,6-tetramethyl-3,5-heptanedionato) cobalt), bis (cyclopentadienyl) cobalt and dicobalt hexacarbonylbutyl acetylene and one or more co-reactants. CVD and ALD deposition of nickel-containing layers may include the use of layers such as cyclopentadienyl allylnickel (CpAllylNi) and MeCp2A precursor such as Ni. Examples of co-reactants may include N2,NH3、N2H4、N2H6、SiH4、Si3H6、B2H6、H2And AlCl3

Returning to fig. 10, according to various embodiments, the deposition in block 1003 may occur in the same or a different chamber than the remote plasma processing of block 1001. In the latter case, the wafer or other substrate is transferred to another chamber prior to block 1003. Further, in some embodiments, blocks 1001 and 1003 may be performed in the same station or different stations of a multi-station room.

Fig. 11A shows an example of a multi-station apparatus 1100. The apparatus 1100 includes a process chamber 1101 and one or more cassettes 1103 (e.g., front opening standard boxes) for holding substrates to be processed and substrates that have completed processing. The chamber 1101 may have a plurality of stations, such as two stations, three stations, four stations, five stations, six stations, seven stations, eight stations, ten stations, or any other number of stations. The number of stations is typically determined by the complexity of the processing operations and the number of such operations that can be performed in the shared environment. FIG. 11A shows a process chamber 1101 having six stations labeled 1111 through 1116. All stations in the multi-station apparatus 1100 having a single process chamber 1103 are exposed to the same pressure environment. However, each station may have a designated reactant distribution system and local plasma and heating conditions achieved by dedicated plasma generators and susceptors (e.g., as shown in fig. 2 and 3).

A substrate to be processed is loaded into the station 1111 from one of the cassettes 1103 by a load lock device 1105. An external robot 1107 may be used to transfer substrates from the cassette 1103 into the load lock 1105. In the depicted embodiment, there are two separate load locks 1105. These are typically equipped with substrate conveyors to move substrates (once the pressure is equalized to a level corresponding to the internal environment of the processing chamber 1103) from the load lock 1105 to the station 1111 and from the station 1116 back into the load lock 1105 for removal from the processing chamber 1103. The internal robot 1109 is used to transfer substrates between the processing stations 1111-1116 and support some of the substrates during processing, as described below.

In some embodiments, one or more stations may remain to heat the substrate. Such stations may have heating lamps (not shown) located above the substrate and/or a heated susceptor supporting the substrate (similar to that shown in fig. 2 and 3). For example, station 1111 may receive substrates from the load lock and be used to preheat the substrates before being further processed. Other stations may be used to fill high aspect ratio features, including remote plasma processing, deposition, or selective removal operations.

After the substrate is heated or otherwise processed at station 1111, the substrate is continuously moved to processing stations 1112, 1113, 1114, 1115, and 1116, which processing stations 1112, 1113, 1114, 1115, and 1116 may or may not be sequentially arranged. The multi-station apparatus 1100 is configured such that all stations are exposed to the same pressure environment. In this way, the substrate is transferred from station 1111 to other stations in chamber 1101 without the need for a transfer port such as a load lock.

An internal robot 1109 is used to transfer substrates between stations 1111-1116. In the example of fig. 11, the robot 1109 includes fins (shown extending between stations) having at least one arm for each processing station. Any suitable transport mechanism may be used.

In certain embodiments, one or more stations may be used to fill features with tungsten-containing material. For example, station 1112 may be used for an initial deposition operation, station 1113 may be used for a selective suppression operation, and station 1114 may be used for a selective deposition operation. In embodiments using a deposition-removal cycle, station 1114 may be used for another deposition operation and station 1115 may be used for a selective removal operation. Station 1116 may be used for the final fill operation. It should be understood that any configuration specified for the station for a particular process (e.g., heating, suppression, deposition, and removal) may be used.

FIG. 11B is a schematic illustration of a multi-chamber device 1120 that may be used according to some embodiments. As shown, the apparatus 1120 has three separate chambers 1121, 1123, and 1125. Each of these chambers is shown with two pedestals. It should be understood that the apparatus may have any number of chambers (e.g., one, two, three, four, five, six, etc.) and each chamber may have any number of stations (e.g., one, two, three, four, five, six, etc.). Each chamber 1121-1125 has its own pressure environment that is not shared between the chambers. Each chamber may have one or more corresponding transfer ports (e.g., load locks). The apparatus may also have a shared substrate handling robot 1127 for transferring substrates between the transfer ports of one or more cassettes 1129.

As described above, separate chambers may be used for remote plasma processing and deposition of tungsten-containing materials and/or selective removal of such deposited materials in subsequent operations. Separating the operations into different chambers can help to significantly increase the processing speed by maintaining the same environmental conditions in each chamber. In other words, the chamber does not need to change its environment from conditions for remote plasma processing to conditions for deposition or selective removal and back, which may involve different reactants, different temperatures, pressures, and other process parameters. In some embodiments, transferring a partially fabricated semiconductor substrate between two or more different chambers is faster than changing the environmental conditions of the chambers.

Returning to FIG. 2, in some embodiments, a system controller 222 is employed to control process parameters. Similarly, in FIG. 3, a system controller 399 may be used to control process parameters.

In some embodiments, a system controller (e.g., system controller 222 or system controller 399, which may include one or more physical or logical controllers) controls some or all of the operation of the process chamber. The system controller may include one or more memory devices and one or more processors. In some embodiments, a device (e.g., device 200 or device 390) includes a switching system for controlling flow rate and duration when performing the disclosed embodiments. In some embodiments, the device may have a switching time of up to about 500ms or up to about 750 ms. The switching time may depend on the flow chemistry, the selected recipe, the reactor architecture, and other factors.

In some implementations, the system controller is part of a system, which may be part of the above examples. Such systems may include semiconductor processing equipment including one or more processing tools, one or more chambers, one or more platforms for processing, and/or specific processing components (wafer susceptors, gas flow systems, etc.). These systems may be integrated with electronics for controlling the operation of semiconductor wafers or substrates before, during, and after their processing. The electronic device may be referred to as a "controller," which may control various components or subcomponents of one or more systems. Depending on the process requirements and/or type of system, the controller can be programmed to control any of the processes disclosed herein, including the delivery of process gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, Radio Frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and operation settings, wafer delivery to and from tools and other delivery tools and/or load locks connected to or interfaced with a particular system.

In general terms, a system controller may be defined as an electronic device having various integrated circuits, logic, memory, and/or software to receive instructions, issue instructions, control operations, enable cleaning operations, enable endpoint measurements, and the like. An integrated circuit may include a chip in firmware that stores program instructions, a Digital Signal Processor (DSP), a chip defined as an Application Specific Integrated Circuit (ASIC), and/or one or more microprocessors or microcontrollers that execute program instructions (e.g., software). The program instructions may be instructions that are conveyed to the controller in the form of various individual settings (or program files) that define operating parameters for performing specific processes on or for a semiconductor wafer or system. In some embodiments, the operating parameters may be part of a recipe defined by a process engineer to complete one or more process steps during fabrication of one or more layer(s), material, metal, oxide, silicon dioxide, surface, circuitry, and/or die of a wafer.

In some implementations, the system controller can be part of or coupled to a computer that is integrated with, coupled to, otherwise networked to, or a combination of the systems. For example, the controller may be in the "cloud" or in all or a portion of a factory-fab (fab) host system, which may allow remote access to wafer processing. The computer may implement remote access to the system to monitor the current progress of the manufacturing operation, to examine the history of past manufacturing operations, to study trends or performance metrics from multiple manufacturing operations to change parameters of the current process, to set process steps to follow the current process, or to start a new process. In some examples, a remote computer (e.g., a server) may provide the process recipe to the system over a network (which may include a local network or the internet). The remote computer may include a user interface that enables parameters and/or settings to be entered or programmed and then transferred from the remote computer to the system. In some examples, the system controller receives instructions in the form of data specifying parameters for each process step to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool with which the controller is configured to interface or control. Thus, as described above, a system controller may be distributed, for example, by including one or more discrete controllers networked together and operating toward a common purpose (e.g., the processes and controls described herein). An example of a distributed controller for such purposes is one or more integrated circuits on a chamber that communicate with one or more integrated circuits located remotely (e.g., at a platform level or as part of a remote computer), which combine to control a process on the chamber.

Example systems may include, but are not limited to, plasma etch chambers or modules, deposition chambers or modules, spin rinse chambers or modules, metal plating chambers or modules, cleaning chambers or modules, bevel edge etch chambers or modules, Physical Vapor Deposition (PVD) chambers or modules, CVD chambers or modules, ALD chambers or modules, ALE chambers or modules, ion implantation chambers or modules, track chambers or modules, and any other semiconductor processing system that may be associated with or used in the manufacture and/or preparation of semiconductor wafers.

As described above, depending on the process step or steps to be performed by the tool, the controller may communicate with one or more other tool circuits or modules, other tool components, cluster tools, other tool interfaces, neighboring tools, tools located throughout the factory, a host computer, another controller, or a tool used in the material transport that transports wafer containers to and from tool locations and/or load ports in a semiconductor manufacturing facility.

The apparatus/processes described above may be used in conjunction with lithographic patterning tools or processes, for example, for the preparation or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, although not necessarily, such tools/processes will be performed or used in a common manufacturing facility. Photolithographic patterning of the film typically includes some or all of the following steps, each step being accomplished using a number of possible tools: (1) coating a photoresist on a workpiece (i.e., a substrate) using a spin-on or spray-on tool; (2) curing the photoresist using a hot plate or oven or a UV curing tool; (3) exposing the photoresist to visible or UV light or X-ray light with a tool such as a wafer stepper; (4) developing the resist to selectively remove the resist to pattern it using a tool such as a wet station; (5) transferring the resist pattern into the underlying film or workpiece by using a dry etching tool or a plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.

Conclusion

Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatuses of the disclosed embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the disclosed embodiments are not to be limited to the details given herein.

26页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:等离子体处理装置

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类