Matrix multiplication acceleration of sparse matrices using column folding and extrusion

文档序号:1938650 发布日期:2021-12-07 浏览:18次 中文

阅读说明:本技术 使用列折叠和挤压的稀疏矩阵的矩阵乘法加速 (Matrix multiplication acceleration of sparse matrices using column folding and extrusion ) 是由 O·阿齐滋 G·布杜赫 T·沃纳 A·杨 M·罗特辛 C·科伦 E·努维塔蒂 于 2019-05-22 设计创作,主要内容包括:所公开的实施例涉及使用列折叠和挤压的稀疏矩阵乘法(SMM)加速。在一个示例中,处理器响应于具有用于指定第一矩阵、第二矩阵和输出矩阵的位置的字段的SMM指令而使用执行电路系统通过利用尚待处理的非零元素替换一个或多个零值元素来紧缩所述第二矩阵,所述第二矩阵是稀疏矩阵,被替换的元素中的每个元素进一步包括用于标识其在所述第二矩阵内的逻辑位置的字段,并且所述执行电路系统进一步用于:针对所指定的第一矩阵的行M和列K处的每个非零元素,生成所述元素与所紧缩的第二矩阵的行K列N处的每个相应非零元素的乘积,并且将每个所生成的乘积与所指定输出矩阵的行M和列N处的相应元素的前一值进行累加。(The disclosed embodiments relate to Sparse Matrix Multiplication (SMM) acceleration using column folding and extrusion. In one example, a processor, in response to an SMM instruction having fields to specify locations of a first matrix, a second matrix, and an output matrix, uses execution circuitry to compact the second matrix by replacing one or more zero-valued elements with yet-to-be-processed non-zero elements, the second matrix being a sparse matrix, each of the replaced elements further including a field to identify its logical location within the second matrix, and the execution circuitry is further to: for each non-zero element at row M and column K of the specified first matrix, a product of the element with each corresponding non-zero element at row K and column N of the compacted second matrix is generated, and each generated product is accumulated with a previous value of the corresponding element at row M and column N of the specified output matrix.)

1. An apparatus, comprising:

a plurality of registers for storing a first matrix and a second matrix in common; and

a first module coupled with the plurality of registers, the first module to execute a matrix multiply and accumulate instruction to:

receiving a sparse matrix representing a sparse matrix, wherein a field identifies a logical position in the sparse matrix of elements involved in compacting the sparse matrix;

performing matrix multiplication on respective elements in respective rows and respective columns of the packed matrix and the first matrix to generate respective products; and

accumulating the product with respective elements in respective rows and respective columns of the second matrix.

2. The apparatus of claim 1 wherein the matrix multiply and accumulate instruction identifies a set of matrix sizes from a plurality of sets of possible matrix sizes.

3. The apparatus of claim 1, wherein the matrix multiply and accumulate instruction identifies a data format of the first matrix as any of at least an integer, a half precision floating point, and a single precision floating point.

4. The apparatus of claim 1, wherein the number of columns of the packed matrix is half of the sparse matrix.

5. The apparatus of claim 1, wherein the first means is to decompose the first matrix into sub-matrices prior to performance of the matrix multiplication.

6. The apparatus of any of claims 1 to 5, further comprising a second module coupled with the first module, the second module to generate the packed matrix by packing the sparse matrix.

7. The apparatus of claim 6, wherein the second module generates the compaction matrix to: a window in a row of the sparse matrix is analyzed and non-zero elements in the window are moved into positions of zero-valued elements in the window.

8. The apparatus of claim 7, wherein the window is wider in size than two columns of the sparse matrix.

9. The apparatus of any of claims 1-5, further comprising an instruction converter to convert the matrix multiply and accumulate instruction into one or more instructions of a different instruction set executable by the first module.

10. The apparatus of any one of claims 1 to 5, wherein the packed matrix is to cause at least some non-zero elements of the sparse matrix to be squeezed into positions of zero-valued elements of the sparse matrix.

11. The apparatus of any of claims 1 to 5, wherein the field identifies a logical position in the sparse matrix of a subset of a same type of all elements involved in compacting the sparse matrix.

12. The apparatus of any of claims 1 to 5, wherein respective elements on which the matrix multiplication is performed are according to the field.

13. A computer system, comprising:

a system memory; and

an apparatus coupled to the system memory, the apparatus comprising:

a plurality of registers for storing a first matrix and a second matrix; and

a first module coupled with the plurality of registers, the first module to execute a matrix multiply and accumulate instruction to:

receiving a sparse matrix representing a sparse matrix, wherein a field identifies a logical position in the sparse matrix of elements involved in compacting the sparse matrix;

performing matrix multiplication on respective elements in respective rows and respective columns of the packed matrix and the first matrix to generate respective products; and

accumulating the product with respective elements in respective rows and respective columns of the second matrix.

14. The computer system of claim 13, wherein the matrix multiply and accumulate instruction identifies a set of matrix sizes from a plurality of sets of possible matrix sizes, wherein the matrix multiply and accumulate instruction identifies the data format of the first matrix as any of at least an integer, a half-precision floating point, and a single-precision floating point, and wherein the computer system further comprises a mass storage device coupled to the processor.

15. The computer system of claim 13, wherein the number of columns of the packed matrix is half of the sparse matrix, and wherein the computer system further comprises a communication device coupled to the processor.

16. The computer system of any of claims 14 to 15, wherein the first module is to decompose the first matrix into smaller sub-matrices prior to execution of the matrix multiplication, and wherein the computer system further comprises a co-processor coupled with the processor.

17. The computer system of claim 13, wherein the apparatus further comprises a second module coupled with the first module, the second module to generate the packed matrix by packing the sparse matrix, wherein the second module to generate the packed matrix is to: analyzing a window in a row of the sparse matrix and moving non-zero elements in the window into positions of zero-valued elements in the window, and wherein the computer system further comprises a mass storage device coupled to the processor.

18. The computer system of claim 13, wherein the packed matrix is to cause at least some non-zero elements of the sparse matrix to be squeezed into positions of zero-valued elements of the sparse matrix, and wherein the computer system further comprises a communication device coupled to the processor.

19. The computer system of any of claims 17 to 18, wherein the field identifies a logical position in the sparse matrix of a subset of the same type of all elements involved in compacting the sparse matrix, wherein the respective element on which the matrix multiplication is performed is according to the field, and wherein the computer system further comprises a co-processor coupled with the processor.

20. A method, comprising:

storing the first matrix and the second matrix in a plurality of registers; and

executing a matrix multiply and accumulate instruction comprising:

receiving a sparse matrix representing a sparse matrix, wherein a field identifies a logical position in the sparse matrix of elements involved in compacting the sparse matrix;

performing matrix multiplication on respective elements in respective rows and respective columns of the packed matrix and the first matrix to generate respective products; and

accumulating the product with respective elements in respective rows and respective columns of the second matrix.

21. The method of claim 20, wherein the matrix multiply and accumulate instruction identifies a set of matrix sizes from a plurality of sets of possible matrix sizes, and wherein the matrix multiply and accumulate instruction identifies the data format of the first matrix as any of at least an integer, a half-precision floating point, and a single-precision floating point.

22. The method of claim 20, wherein the number of columns of the packed matrix is half of the sparse matrix.

23. The method of claim 20, further comprising decomposing the first matrix into smaller sub-matrices prior to execution of the matrix multiplication.

24. The method of any of claims 20 to 23, further comprising generating the compact matrix by compacting the sparse matrix, wherein generating the compact matrix comprises: a window in a row of the sparse matrix is analyzed and non-zero elements in the window are moved into positions of zero-valued elements in the window.

25. The method of any of claims 20 to 23, wherein the field identifies a logical position in the sparse matrix of a subset of a same type of all elements involved in compacting the sparse matrix, wherein the respective element on which the matrix multiplication is performed is according to the field.

26. An apparatus comprising means for performing the method of any of claims 20-25.

27. One or more non-transitory machine-readable storage media storing data which, when executed by one or more machines, causes the one or more machines to perform the method of any one of claims 20-25.

Technical Field

The field of the invention relates generally to computer processor architectures and more specifically to matrix multiplication acceleration using column-folded and extruded sparse matrices.

Background

Many hardware accelerators for neural networks today perform matrix multiplication primarily in a dense format, regardless of the fact that there are a large number of zeros in one (or both) matrices. This introduces inefficient use of hardware resources (multiplied by 0) and power waste.

Today's hardware accelerators for neural networks for both training and reasoning strive to achieve the best raw performance values and power-to-performance ratios. Exploiting the sparsity of the native and injected in these neural networks is one way to gain the lead position in this field of competition.

Machine learning architectures such as deep neural networks have been applied in fields including computer vision, speech recognition, natural language processing, audio recognition, social network filtering, machine translation, bioinformatics, and drug design.

Matrix multiplication is a key performance/power limiting term for many algorithms, including machine learning. Some conventional matrix multiplication methods are specialized, for example they lack the flexibility to use wide accumulators to support various data formats (signed and unsigned 8b/16b integers, 16b floating points), and to support both dense and sparse matrices.

The problem to be solved herein is to improve the performance and power efficiency of neural network processing chips by processing matrix multiplications more efficiently in the presence of sparsity in the input data set (sparse matrices with density less than 1.0, meaning that not 100% of their elements have non-zero values). In particular, this problem is addressed while simultaneously maintaining performance for dense (non-sparse) matrix multiplications.

Drawings

The present invention is illustrated by way of example and not limitation in the figures of the accompanying drawings, in which like references indicate similar elements and in which:

FIG. 1 is a block diagram illustrating processing components for performing Sparse Matrix Multiply (SMM) instructions in accordance with an embodiment;

FIG. 2 illustrates an exemplary execution flow for executing a Sparse Matrix Multiply (SMM) instruction in accordance with some embodiments;

FIG. 3 is a block diagram of a processing array to execute a blocked Sparse Matrix Multiply (SMM) instruction in accordance with some embodiments;

FIG. 4 is a block diagram illustrating matrix folding for Sparse Matrix Multiplication (SMM) instructions in accordance with some embodiments;

FIG. 5A is a block diagram illustrating matrix folding for Sparse Matrix Multiplication (SMM) instructions in accordance with some embodiments;

FIG. 5B is a block diagram illustrating matrix multiplication with optimized folding opportunities in accordance with some embodiments;

FIG. 5C is a block diagram illustrating an increased folding opportunity according to some embodiments;

FIG. 6A is a block diagram illustrating matrix compaction for Sparse Matrix Multiply (SMM) instructions in accordance with some embodiments;

FIG. 6B is a block flow diagram illustrating matrix compaction by a processor performing Sparse Matrix Multiplication (SMM) in accordance with some embodiments;

FIG. 6C is another block flow diagram illustrating matrix compaction by a processor performing Sparse Matrix Multiplication (SMM) in accordance with some embodiments;

FIG. 6D is a block diagram illustrating circuitry for implementing matrix compaction of Sparse Matrix Multiply (SMM) instructions in accordance with some embodiments;

FIG. 7 is a block diagram illustrating a multiply-accumulate circuit for Sparse Matrix Multiply (SMM) instructions in accordance with some embodiments;

FIG. 8 is a block flow diagram illustrating a processor executing a Sparse Matrix Multiply (SMM) instruction in accordance with some embodiments;

FIG. 9 illustrates a format of a Sparse Matrix Multiply (SMM) instruction in accordance with some embodiments;

10A-10B are block diagrams illustrating a generic vector friendly instruction format and instruction templates thereof according to some embodiments of the invention;

FIG. 10A is a block diagram illustrating a generic vector friendly instruction format and class A instruction templates thereof according to some embodiments of the invention;

FIG. 10B is a block diagram illustrating a generic vector friendly instruction format and class B instruction templates thereof according to some embodiments of the invention;

FIG. 11A is a block diagram illustrating an exemplary specific vector friendly instruction format according to some embodiments of the invention;

FIG. 11B is a block diagram illustrating fields of a specific vector friendly instruction format that constitute a full opcode field, according to one embodiment;

FIG. 11C is a block diagram illustrating fields of a particular vector friendly instruction format that make up the register index field according to one embodiment;

FIG. 11D is a block diagram illustrating fields of a particular vector friendly instruction format that make up the enhanced operation field according to one embodiment;

FIG. 12 is a block diagram of a register architecture according to one embodiment;

FIG. 13A is a block diagram illustrating both an exemplary in-order pipeline and an exemplary register renaming, out-of-order issue/execution pipeline, in accordance with some embodiments;

FIG. 13B is a block diagram illustrating both an exemplary embodiment of an in-order architecture core and an exemplary register renaming, out-of-order issue/execution architecture core to be included in a processor in accordance with some embodiments;

14A-14B illustrate block diagrams of more specific example in-order core architectures that would be one of several logic blocks in a chip (including other cores of the same type and/or different types);

FIG. 14A is a block diagram of a single processor core along with its connection to an on-die interconnect network and its local subset of a level 2 (L2) cache, according to some embodiments;

FIG. 14B is an expanded view of a portion of the processor core in FIG. 14A, in accordance with some embodiments;

FIG. 15 is a block diagram of a processor that may have more than one core, may have an integrated memory controller, and may have an integrated graphics device, in accordance with some embodiments;

FIGS. 16-19 are block diagrams of exemplary computer architectures;

FIG. 16 illustrates a block diagram of a system according to some embodiments;

fig. 17 shows a block diagram of a first more specific exemplary system according to some embodiments;

FIG. 18 illustrates a block diagram of a second more specific exemplary system according to some embodiments;

FIG. 19 is a block diagram of a system on a chip (SoC) according to some embodiments; and is

FIG. 20 is a block diagram that contrasts the use of a software instruction converter for converting binary instructions in a source instruction set to binary instructions in a target instruction set, according to some embodiments.

Detailed Description

In the following description, numerous specific details are set forth. It is understood, however, that some embodiments may be practiced without these specific details. In other instances, well-known circuits, structures and techniques have not been shown in detail in order not to obscure the understanding of this description.

References in the specification to "one embodiment," "an example embodiment," etc., indicate that the embodiment described may include a feature, structure, or characteristic, but every embodiment may not necessarily include the feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the art to effect such feature, structure, or characteristic in connection with other embodiments if explicitly described.

The disclosed embodiments introduce a method of exploiting the sparsity of sparse input matrices to perform matrix multiplication more efficiently, thereby consuming less power while reducing the amount of cycles required to complete a computation. Unlike conventional symmetric matrix multiplication circuits, the disclosed embodiments contemplate improving matrix multiplication performance and power utilization by compacting sparse matrices to avoid multiplying by zero. In some embodiments, compaction requires folding a sparse matrix to replace zero-valued elements with non-zero elements. In other embodiments, compaction requires squeezing adjacent columns of the sparse matrix to similarly replace zero-valued elements with non-zero elements. In either case, as the routing circuitry moves the elements of the multiplicand matrix, it also routes the appropriate elements of the multiplier matrix in order to generate a result.

As used herein, the "sparsity" of a matrix is defined as the proportion of non-zero elements, with the remaining elements being zero or null. For example, when performing matrix multiplication involving a sparse matrix with a sparsity of 0.875 (where only 1/8 or 12.5% of its elements have non-zero values), it is expected that the disclosed embodiments can provide improved cost and performance by avoiding zero multiplication. In some embodiments, sparsity may be used to refer to the proportion of zero valued elements.

In some embodiments, the processor dynamically detects sparsity in the input matrix (detects zero-valued elements) when processing matrix multiplication operations. In some embodiments, the processor sets a bit that identifies each zero value element. While the processor may analyze the data elements dynamically at runtime, in some embodiments, the input matrix may also be analyzed ahead of time and zero valued elements marked. Based on the determined sparsity level, and if it is worth to do so, the processor uses routing circuitry to compact the matrix into a "squeezed" or "collapsed" format. The processor then configures the processing cores using routing circuitry to process the compacted matrix using fewer cycles or less hardware.

In some embodiments, for example, as shown and described with respect to fig. 3, the disclosed embodiments decompose matrix multiplication into smaller matrices that can be performed with less hardware. For example, when multiplying the A matrix by the B matrix, if A and B are logically 512 by 512 matrices, the disclosed embodiments decompose the computation into 32 by 32 sub-matrices.

The disclosed embodiments provide improved matrix multiplication for applications such as deep learning or machine learning.

Some embodiments exploit matrix sparsity by folding sparse matrices such as shown and described with respect to fig. 5A-5C, or by squeezing sparse matrices such as shown and described with respect to fig. 6A-6D.

Some previous solutions require sparsity in both matrices to be effective. However, embodiments disclosed herein may provide acceleration even in the presence of sparsity in only one matrix.

The disclosed embodiments contemplate improving cost and area by providing a single reconfigurable execution circuit to support a variety of data formats, including both integer and floating point, as compared to some approaches that rely on different circuits dedicated to different data formats. The disclosed embodiments provide a matrix multiplication accelerator that supports floating point and integer data formats through accumulation. The disclosed accelerator can also be optimized to operate on sparse matrices by avoiding multiplication by zero elements. By combining these features into a reconfigurable circuit, the disclosed embodiments thus enable a single matrix multiply accelerator circuit to support multiple precision formats using a wide accumulator while efficiently reconfiguring either dense or sparse matrices. The disclosed accelerator embodiments improve area and energy efficiency while providing flexibility to support many typical matrix multiplication workloads such as machine learning.

In some embodiments, the execution circuitry uses an inner product algorithm by which rows of the a matrix are loaded into a Matrix Multiplier Processing Unit (MMPU) and then columns of the B matrix are streamed one cycle at a time. The elements of the B matrix that remain to be processed are sometimes referred to as "downstream" elements. This algorithm generates one column of the C matrix per cycle.

Fig. 1 is a block diagram illustrating processing components for executing Sparse Matrix Multiply (SMM) instructions, in accordance with some embodiments. As illustrated, storage 101 stores SMM instruction(s) 103 to be executed. As described further below, in some embodiments, computing system 100 is a SIMD processor for simultaneously processing multiple elements of a packed data vector, such as a matrix.

In operation, SMM instructions 103 are fetched from storage 101 by fetch circuitry 105. The fetched SMM instructions 107 are decoded by decode circuitry 109. The SMM instruction formats further shown and described with respect to fig. 9, 10A-10B, and 11A-11D have fields (not shown here) for specifying a first matrix, a second matrix, and a destination matrix, and in some embodiments the specified second matrix is a sparse matrix having a density less than one (the density is a proportion of non-zero elements, i.e., the second matrix has at least some zero-valued elements). The decode circuitry 109 decodes the fetched SMM instructions 107 into one or more operations. In some embodiments, this decoding includes generating a plurality of micro-operations to be performed by execution circuitry (e.g., execution circuitry 119). The decode circuitry 109 also decodes instruction suffixes and prefixes (if used). The execution circuitry 119 is further described and illustrated below with respect to at least fig. 2-8, 13A-13B, and 14A-14B.

In some embodiments, register renaming, register allocating and/or scheduling circuitry 113 provides one or more of the following functions: 1) renaming the logical operand value to a physical operand value (e.g., in some embodiments, a register alias table); 2) assigning a status bit and a flag to the decoded instruction; and 3) schedule the decoded SMM instructions 111 for execution on execution circuitry 119 outside of the instruction pool (e.g., using a reservation station in some embodiments).

Routing circuitry 117 assists execution circuitry 119 by performing matrix folding (described and illustrated with reference to fig. 4 and 5A-5C) and matrix compression (described and illustrated with reference to fig. 6A-6D) so that matrix multiplication can be optimized and zero multiplication reduced. In some embodiments, as shown, routing circuitry 117 is external to execution circuitry 119. In other embodiments, routing circuitry 117 is incorporated into and part of execution circuitry 119.

The registers (register file) and/or memory 115 store data as operands of decoded SMM instructions 111 to be operated on by the execution circuitry 119. Exemplary register types include writemask registers, packed data registers, general purpose registers, and floating point registers, as further described and illustrated below at least with respect to FIG. 12.

In some embodiments, the write-back circuitry 120 commits the results of the execution of the decoded SMM instructions 111. The execution circuitry 119 and system 100 are further shown and described with respect to fig. 2-8, 13A-13B, and 14A-14B.

Fig. 2 illustrates an example execution flow for executing a Sparse Matrix Multiply (SMM) instruction, in accordance with some embodiments. As shown, the SMM instructions 200 have fields to specify a destination matrix, a first source matrix, and a second source matrix, which are sometimes referred to as C, a, and B matrices, respectively, or DST, SRC1, and SRC2 matrices, respectively, or output, dense, and sparse source matrices, respectively. When the second source matrix is a sparse matrix, it has a sparsity of less than one (sparsity refers to the proportion of non-zero elements, which may be expressed as a percentage, a fraction, or a number between 0 and 1).

As shown, src1 matrix 202, src2 matrix 204, and dst matrix 206 have (M × K), (K × N), and (M × N) elements, respectively, where M ═ 4, K ═ 4, and N ═ 4. Of course, in other embodiments, M, K and N may vary. The points are used to specify the non-zero elements of the A, B, and C matrices, where the A matrix 202 is a dense matrix and the B matrix 204 is a sparse matrix.

In operation, the processor, in response to SMM instructions 200, multiplies the src1 matrix 202 by the src2 matrix 204 to generate and store a product in the dst matrix 206. For example, the processor computes the top left corner element (labeled 208) of the dst matrix 206 (also referred to as the C matrix, output, or product) by multiplying the elements in the top row of the src1 matrix 202 (also referred to as the a matrix, dense matrix, multiplier, or factor) with the corresponding elements in the left most column of the src2 matrix 204 (also referred to as the B matrix, sparse matrix, multiplicand, or factor). As used herein, the term "corresponding" means that the relative position of the elements of the a matrix within a row of multiplication is the same as the relative position of the elements in a column of the B matrix of multiplication. To illustrate the beginning portion of the operation, the results 208 stored at row 0 and column 0 of the C matrix 206 are generated by multiplying and accumulating the corresponding non-zero elements of the src1 matrix 202 (also referred to as the a matrix) row 0 and the src2 matrix 204 (also referred to as the B matrix) column 0. Expressed as algebra, Cr0c0 ═ Ar0c0 ═ Br0c0+ Ar0c1 ═ Br1c0+ Ar0c2 ═ Br2c0+ Ar0c3 × Br3c 0. Similarly, the remaining non-zero products for column 0 of dst matrix 206 are generated. The elements of columns 1, 2, and 3 of C matrix 206 are all zero because columns 1, 2, and 3 of src2 matrix 204 are all zero. In some embodiments, the generated products are accumulated with the previous contents of the dst matrix 206. The executed SMM instructions are further shown and described with respect to fig. 3-8, 13A-13B, and 14A-14B by the disclosed embodiments.

Block matrix multiplication

FIG. 3 is a block diagram of a processing array to execute a blocked Sparse Matrix Multiply (SMM) instruction in accordance with some embodiments. As shown, the a matrix 302 and the B matrix 304 are 16 × 16 matrices that will be multiplied to generate a C matrix 306 that is also a 16 × 16 matrix.

In some embodiments, as shown, the larger matrix multiplication is performed by decomposing the operands into smaller matrices. Here, the 16 × 16 matrix is decomposed into smaller 4 × 4 matrices. For example, to calculate the submatrix c00, the submatrixes a00 and b00 are first multiplied using a 4 × 4 array of processing cells, and then the results of the submatrix multiplications a01 × b10, a02 × b20, and a03 × b30 are accumulated over the first result.

If each 4x 4 multiplication is performed in one cycle, it will therefore take four cycles to complete the calculation of sub-matrix c 11. As further described and illustrated with respect to fig. 5-7, some disclosed embodiments use the "folding" concept to speed up and improve the efficiency of the computation, rather than increasing the number of multipliers. For example, if matrices b00, b10, and/or b20 are sparse matrices, the disclosed embodiments avoid using hardware resources to multiply zero elements, but instead utilize multipliers by "folding over" elements from sub-matrix b30, thereby applying these multipliers to perform useful work. If all elements of the sub-matrix b30 can be collapsed, the disclosed embodiments allow for speeding up execution by skipping the a03 x b30 multiplication altogether.

Matrix folding

FIG. 4 is a block diagram illustrating another optimized execution of Sparse Matrix Multiply (SMM) instructions in accordance with some embodiments. To demonstrate the optimization, SMM instructions 400 are shown being executed in both non-optimized mode 401 and optimized mode 411. As shown, during non-optimized matrix multiplication 401, a dense source matrix 402 (also referred to as an a matrix) is multiplied by a sparse source matrix 404 (also referred to as a B matrix) to generate an output matrix 406 (also referred to as a C matrix).

The dense source matrix 402 is a 3 x 3 matrix with nine non-zero elements labeled a at position (0, 0) to I at position (2, 2). The sparse source matrix 404 is also a 3 x 3 matrix and has elements labeled R at position (0, 0) to Z at position (2, 2). In the illustrated embodiment, the a-matrix 402 is a sub-matrix of a logically larger matrix, and the next column is shown with elements labeled J, K and L. Similarly, the illustrated B matrix 404 is a sub-matrix of a logically larger matrix, and the next row is shown with elements labeled O, P and Q.

However, the sparse source matrix 404 has zero value elements Y408 which will result in three zero multiplications in a non-optimized mode to generate the products CY, FY and IY (to optimize the multiplications, as described below, the routing circuitry may take advantage of the opportunity to move the elements 409 to use the multiplication resources that would otherwise be used for zero multiplications involving the zero value elements 408).

It should be noted that while fig. 4 illustrates moving 409 from the next sub-matrix, in operation, elements from the same sub-matrix may be moved, such as elements V or S.

The elements of the output matrix 406 are shown algebraically. For example, the element at position (0, 0) of the C matrix 406 is "AR + BU + CX". In non-optimization mode 401, the elements of the middle column of output matrix 406 will include zero-valued products C × Y, F × Y and I × Y.

In the optimized version of operation 411, the A, B and C matrices are labeled 412, 414, and 416, respectively. At this point, consistent with some embodiments, the routing circuitry moves item P419 to position 418 previously occupied by the zero value element Y.

Due to the optimization, the execution hardware is no longer used to multiply by zero. Instead, these multipliers are used to generate useful products JP, KP and LP. As shown, in the optimized mode, the multipliers used to generate the products CY, FY and IY in the non-optimized mode are now used to generate the useful non-zero products JP, KP and LP. To implement the optimization, the possible zero-valued products CY, FY and IY are no longer generated. Instead, useful (i.e., non-zero) products JP, KP and LP are generated and, as shown, accumulated with the product generated in the second column of C matrix 416. In operation, another element may be moved into the point vacated by element 419.

FIG. 5A is a block diagram illustrating matrix folding for Sparse Matrix Multiplication (SMM) instructions in accordance with some embodiments. As shown, the B sub-matrix B20 (i.e., in the zeroth column of the second row of the larger logic array) is labeled 502 and is a 4x 4 sparse matrix containing nine (9) non-zero elements represented by circles. The B sub-matrix B30 (i.e., at the third row, column zero of the larger logic array) is labeled 504 and is a 4x 4 sparse matrix with seven (7) non-zero elements represented by squares.

To optimize execution of the SMM instructions 500, similar to the embodiment disclosed in fig. 4, one or more non-zero elements of the B sub-matrix B20, labeled 502, are moved into zero-valued elements of the B sub-matrix B30, labeled 504. When moving elements as described, it is sometimes referred to as "folding" the elements of one sub-matrix onto another sub-matrix. After optimization, the optimized B sub-matrix B30, labeled 506, includes a block of nine (9) non-zero elements from the B sub-matrix B20 that have been "collapsed" into it. The C sub-matrix 512 is calculated by multiplying the A sub-matrices 508 and 510 by the appropriate elements of the optimized B sub-matrix 506. For simplicity, only the element of the C sub-matrix 512 at position (0, 1) is shown, the other elements are shown as "X", i.e., don't care.

The submatrix "folding" concept involves observing that if matrix B is sparse (has many values of zero), the unoptimized matrix multiplication will include multiple useless zero multiplications. Thus, according to the disclosed embodiment, one sparse sub-matrix (e.g., B sub-matrix B20 labeled 502) is folded onto another sparse sub-matrix (e.g., B sub-matrix B30 labeled 504). This is sometimes referred to as a "block" of folded elements in the sense that the fold moves multiple elements.

Thus, the embodiment disclosed in fig. 5A avoids multiplying zero without contributing to the final result, which would uselessly use multiplication hardware, by avoiding the use of any of the zero-valued elements of the B sub-matrix B20502 and the B sub-matrix B30504. As shown, the zero-valued element of the B sub-matrix B30504 is overwritten with a non-zero element from the vertically adjacent B sub-matrix B20502. Despite folding, the final result for each element in the C matrix 510 is the sum of the products of all columns of all sub-matrices for A and B. In some embodiments, the entire sub-matrix is folded over another, allowing the processor to skip multiplying the sub-matrix that is now completely zero, thereby speeding up and reducing the power utilization of the overall computation.

Fig. 5A depicts a folding that seeks to find an empty (i.e., zero-valued) space in the B-submatrix B30504 for each non-zero element of the B-submatrix B20502. This may not always be the case because the non-zero elements occur at random indices. In this case, fig. 5B and 5C depict two embodiments for increasing the folding opportunities.

A first embodiment of increasing folding opportunities is illustrated in fig. 5B, which is a block diagram illustrating matrix multiplication with optimized folding opportunities in accordance with some embodiments. As shown, the optimized B sub-matrix 522 includes all non-zero elements. Focusing on column 1 of the optimized B sub-matrix 522, the column consists of two types of elements: one represented by a circle and the other by a square. The sub-matrix a00, labeled 524, includes non-zero elements, represented by circles, that are to be multiplied by the appropriate elements of the B sub-matrix 522 to generate the corresponding elements of the C matrix 528, only one element of which is shown. The sub-matrix a01, labeled 526, includes non-zero elements represented by squares that are to be multiplied by the appropriate elements of the optimized B sub-matrix 522 to generate the corresponding elements of the C matrix 528, only one element of which is shown. The embodiment of fig. 5B thus increases the chances of folding the elements in the B matrix 522 by providing multiplexers to select among the elements of the sub-matrices a 00524 and a 01526. Increasing the degree of multiplexing increases the range from which the elements of the B matrix can be selected and folded vertically downward. In other embodiments not shown, an even wider multiplexer is provided to select among an even wider set of a sub-matrix elements.

A second embodiment of increasing the folding opportunity is illustrated in fig. 5C, which is a block diagram illustrating increasing the folding opportunity according to some embodiments. As shown, the embodiment illustrated in fig. 5C increases the folding opportunity by attempting to fold over multiple cycles, while remembering the "remainder" after each cycle. The remainder refers to elements that cannot be folded in the cycle. As shown, SMM instructions 540 require multiplication to be performed factoring in the sparse B sub-matrix. The non-optimized B sub-matrix 2,1, labeled 542, includes nine non-zero elements, represented by circles, that are to be folded into a B sub-matrix 3,1, labeled 544, that includes six zero-valued elements. In the first cycle (cycle 0548), an optimized B sub-matrix 3,1, labeled 546, is formed by folding the six non-zero elements from B sub-matrix 2,1542 into B sub-matrix 3, 1. During the second period (period 1552), the remaining portion 550 of the B sub-matrix 2,1542, which consists of three non-zero elements of the B sub-matrix 2,1542 that were not folded during the first period, is folded into the optimized B matrix 3,1546.

The folding optimization illustrated in fig. 5A-5C may be repeated until each non-zero element in the B matrix is folded, at which point the B sub-matrix may be skipped altogether, thereby reducing power utilization and improving performance.

Matrix extrusion

FIG. 6A is a block diagram illustrating optimized execution of Sparse Matrix Multiply (SMM) instructions according to some embodiments. To demonstrate optimization, execution of SMM instructions 600 in both non-optimized mode 601 and optimized mode 611 is shown, according to some embodiments. The dense source matrix 602 is a 3 x 3 matrix with nine non-zero elements labeled a at position (0, 0) to I at position (2, 2). The sparse source matrix 604 is also a 3 x 3 matrix and has elements labeled R at position (0, 0) to Z at position (2, 2). However, the sparse source matrix 604 has zero value elements U608, which will result in zero multiplication in the non-optimized mode. The elements of the output matrix 606 are shown algebraically. For example, the element at position (0, 0) of the C matrix 606 is "AR + BU + CX". In non-optimized mode 601, the elements of the leftmost column of output matrix 606 will include zero-valued products B × U, E × U and H × U.

During non-optimized matrix multiplication 601, a dense source matrix 602 (also referred to as an a matrix) is multiplied by a sparse source matrix 604 (also referred to as a B matrix) to generate an output matrix 606 (also referred to as a C matrix) one column at a time. The non-optimized matrix multiplication 602 includes three zero multiplications that generate the products BU, EU, and HU. Non-optimal operation requires three cycles.

However, to optimize multiplication according to the disclosed embodiments, as described below, the routing circuitry takes advantage of the opportunity to move element 609 to use multiplication resources that would otherwise be used for zero multiplication involving zero value element 608. In operation, another element may be moved into the point vacated by element 619.

When all elements in a column of the sparse source matrix 604 are moved, execution will skip the now empty column. The optimization mode will then take at least one fewer clock cycle to perform the multiplication.

In the optimized version of operation 611, the A, B and C matrices are labeled 612, 614, and 616, respectively. At this point, consistent with some embodiments, the routing circuitry moves item "V" from location (1, 1), labeled 619, to location (1, 0), labeled 618.

Due to the optimization, the execution hardware is no longer used to multiply by zero. Instead, these multipliers are used to generate useful products BV, EV, and HV. As shown, in the optimized mode, the multipliers used to generate BU, EU and HU in the non-optimized mode are now used to generate useful non-zero products BV, EV and HV. To perform the optimization, the possible zero-valued products BU, EU and HU are no longer generated. Instead, useful (i.e., non-zero) products BV, EV and HV are generated and accumulated with the product generated in the second column of C matrix 616, where these elements would be generated in non-optimized mode.

Fig. 6B is a block flow diagram illustrating matrix compaction by a processor executing Sparse Matrix Multiplication (SMM) instructions, in accordance with some embodiments. As shown, the routing and execution circuitry will execute the SMM instructions 620 over five cycles, e.g., as shown and described with respect to fig. 1-8, 13A-13B, and 14A-14B. Illustrated is a portion of this execution, namely the processing of the 8 x 8B matrix is shown over five cycles and labeled B matrix cycle 0621A through cycle 4621E. Five snapshots of the B matrix are shown as 622 through 630.

Without optimization, assuming that one column of the B matrix is processed per cycle, 8 cycles would be required to process the B matrix. However, the illustrated embodiment optimizes performance by taking advantage of the opportunity to squeeze non-zero elements into adjacent zero-valued slots.

In operation, the illustrated embodiment uses paired column "squeeze windows" to move non-zero elements to adjacent zero-valued slots, if possible. Pair-squeeze windows is a sliding window approach, and any element that is not "squeezable" is transferred to the next iteration (as shown in the example). An optimization opportunity occurs each time an all-zero column is encountered; the squeeze window may skip the column completely, improving performance and reducing power utilization. In some embodiments not shown, the crush windows are wider than shown. In some embodiments, for example, as shown and described with respect to fig. 6C-6D, non-zero elements identified in the squeeze window may be moved to nearby available zero-valued slots according to specified movement rules. In the embodiment of fig. 6A-6B, the elements may only move horizontally from right to left within the size of the window. As will be shown with respect to fig. 6C-6D, in some embodiments, an element may move horizontally from the right column to the left column, and may also move up or down by one point, increasing the chance of moving the element. Thus, the embodiment of FIG. 6C reveals a "pane width" labeled 643 with 3 elements. In other embodiments, the pane width may be different.

Returning to the discussion of FIG. 6B, in cycle 0, as illustrated by snapshot 622, the routing circuitry analyzes the pair of windows 631A on the first two columns of B matrix 621A to identify and move the two non-zero elements at positions (0, 1) and (5, 1) to the adjacent zero-valued slot, thereby generating partially optimized B matrix 621B.

It should be noted that even in the case of optimization, the B matrix 521B still contains zero-valued elements at positions (3, 0) and (6, 0) of the first column. In embodiments with increased routing opportunities, for example, as shown and described with respect to fig. 6C, filling positions (3, 0) and (6, 0) of the first column with non-zero elements avoids zero multiplication, improves performance by eliminating one cycle, and reduces power consumption. In some embodiments, zero-valued elements of column zero of the B matrix 521B are identified and zero multiplication is avoided. In other embodiments, zero multiplication is allowed.

In cycle 1, as illustrated by snapshot 624, the routing circuitry analyzes the pair of windows 631B on the next two columns of B matrix 621B to identify and move the four non-zero elements from positions (0, 2), (3, 2), (4, 2), and (6, 2) to adjacent zero-valued slots, thereby generating partially optimized B matrix 621C.

An optimization opportunity 632 exists in the optimized B matrix 621C. That is, column 2 of the optimized B matrix 621C does not include any non-zero elements, and thus may be skipped in order to improve performance by one cycle and reduce power consumption. Then, in cycle 2, as illustrated by snapshot 626, the pair-wise squeeze window 631C has skipped column 2 of the B matrix and now spans columns three through four. The routing circuitry analyzes the pair of windows 631C on columns three through four of the B matrix 621C to identify and move one non-zero element from position (0, 4) to an adjacent zero-valued slot, thereby generating the partially optimized B matrix 621D.

In cycle 3, as illustrated by snapshot 628, the pair of squeeze windows 631D is shifted by one element so that columns 4 through 5 of B matrix 621D are now analyzed. The routing circuitry analyzes the pair of windows 631D on columns four through five of the B matrix 621D to identify and move the five non-zero elements from positions (2, 5), (3, 5), (4, 5), (6, 5), and (7, 5) to the adjacent zero-valued slot, thereby producing the partially optimized B matrix 621E.

Another optimization opportunity 634 exists in the optimized B matrix 621E. That is, column 5 of the optimized B matrix 621E does not include any non-zero elements and thus may be skipped in order to improve performance and reduce power utilization. Then, in cycle 4, as illustrated by snapshot 630, the pair-wise squeeze window 631E has skipped column 5 of the B matrix and now spans columns six through seven. The routing circuitry analyzes the pair of windows 631E on column six through column seven of the B matrix 621E to identify and move the four non-zero elements from positions (3, 7), (4, 7), (6, 7), and (7, 7) to the adjacent zero-valued slot, thereby producing another all-zero column, column 7, which can be skipped to optimize power and performance.

Fig. 6C is another block flow diagram illustrating matrix compaction by a processor executing Sparse Matrix Multiplication (SMM) instructions, in accordance with some embodiments. As shown, the routing and execution circuitry will execute the SMM instructions 640 over five cycles, e.g., as shown and described with respect to fig. 1-5C, 7-8, 13A-13B, and 14A-14B. What is shown is a portion of this execution, namely, the processing of the 8 x 8B matrix is shown over five cycles and labeled B matrix cycle 0641A through cycle 4641E. Five snapshots of the B matrix are shown and labeled 642, 644, 646, 648, and 650.

Without optimization, assuming that one column of the B matrix is processed per cycle, 8 cycles would be required to process the B matrix. However, the illustrated embodiment takes advantage of the opportunity to squeeze non-zero elements into nearby zero-valued slots by using routing circuitry to optimize execution.

In operation, the illustrated embodiment uses a pair of columns of "squeeze windows" to move non-zero elements to nearby zero-valued slots, where possible. Pair-squeeze windows is a sliding window approach, and any element that is not "squeezable" is transferred to the next iteration (as shown in the example). An optimization opportunity occurs each time an all-zero column is encountered; the squeeze window may skip the column. Here, four such opportunities are labeled 652, 654, 656, and 658.

In cycle 0, as illustrated by snapshot 642, the routing circuitry analyzes the pair of windows 651A on the first two columns of B matrix 641A to identify and move the four non-zero elements from locations (0, 1), (2, 1), (5, 1), and (7, 1) to nearby zero-valued bins from those locations, thereby generating partially optimized B matrix 641B.

It should be noted that according to the embodiment shown in fig. 6B, only the elements are allowed to move horizontally, so the elements at positions (2, 1) and (7, 1) will not be moved in cycle 0. However, the embodiment of FIG. 6C has a wider "pane size" of 3 elements, an example of which is labeled 643. With a wider pane size, the elements at positions (2, 1) and (7, 1) can be moved in cycle 0. In other embodiments not shown, the pane size is different (larger or smaller).

Note that some of the non-zero elements shifted in period 0 are shifted to diagonally adjacent zero-valued bins. To allow such shifting, circuitry as shown in fig. 6D is used to route the correct corresponding elements in the a matrix to be multiplied by the shifted B matrix elements. In other embodiments, multiplexer 662 (fig. 6D) has an additional a element as an input, for example, when the pane size is greater than three.

An optimization opportunity 652 exists in the optimized B matrix 641B. That is, column 1 of the optimized B matrix 641B does not include any non-zero elements and thus may be skipped in order to improve performance and reduce power consumption. Then, in cycle 1, as illustrated by snapshot 644, the pair-wise squeeze window 651B has skipped column 1 of the B matrix and now spans columns two through three. The routing circuitry analyzes the paired windows 651B on columns two through three of the B matrix 641B to identify and move the four non-zero elements from positions (1, 3), (3, 3), (4, 3), and (7, 3) to nearby zero-valued slots, thereby producing a partially optimized B matrix 641C.

Another optimization opportunity 654 exists in the optimized B matrix 641C. That is, column 3 of the optimized B matrix 641C does not include any non-zero elements and, therefore, may be skipped in order to improve performance and reduce power consumption. Then, in cycle 2, as illustrated by snapshot 646, the pair-wise squeeze window 651C has skipped column 3 of the B matrix and now spans columns four through five. The routing circuitry analyzes the paired windows 651C on columns four through five of the B matrix 641C to identify and move the four non-zero elements from positions (2, 5), (4, 5), (6, 5), and (7, 5) to nearby zero-valued bins, thereby producing a partially optimized B matrix 641D.

Another optimization opportunity 656 exists in the optimized B matrix 641D. That is, column 5 of the optimized B matrix 641D does not include any non-zero elements and thus may be skipped in order to improve performance and reduce power consumption. Then, in cycle 3, the pair-wise compression window 651D has skipped column 5 of the B matrix and now spans columns six through seven. The routing circuitry analyzes the paired windows 651D on columns six through seven of the B matrix 641D to identify and move the five non-zero elements from positions (0, 7), (3, 7), (4, 7), (6, 7), and (7, 7) to nearby zero-valued slots, thereby producing a partially optimized B matrix 641E.

Another optimization opportunity 658 exists in the optimized B matrix 641E. That is, column 7 of the optimized B matrix 641E does not include any non-zero elements and thus may be skipped in order to improve performance and reduce power utilization. Then, in cycle 4, as illustrated by snapshot 650, there are no remaining columns of optimized B matrix 641E to process.

Fig. 6D is a block diagram illustrating circuitry to facilitate matrix compaction of Sparse Matrix Multiply (SMM) instructions, in accordance with some embodiments. As shown and described with respect to fig. 6A-6B, the circuit 660 may be used to achieve more optimization opportunities during a squeeze operation. That is, circuitry 660 allows the B matrix elements to be shifted diagonally so that the appropriate corresponding elements of the A matrix are arranged for multiplication. As shown, circuit 660 includes a multiplexer 662 for routing any one of the three a-matrix elements to a multiplier 664 for implementing a Sparse Matrix Multiplication (SMM) instruction. In other embodiments not shown, the optimization opportunities are further increased by allowing more a matrix elements to be selected. For example, an 8-to-1 multiplexer may be used, allowing the B element to move to any of the eight available zero-valued slots.

Multiply-accumulate circuitry

FIG. 7 is a block diagram illustrating a multiply-accumulate (MAC) circuit for Sparse Matrix Multiply (SMM) instructions, in accordance with some embodiments. As shown, MAC circuit 700 includes eight multipliers 702 through 716, followed by a binary tree of seven two-input adders 718 through 730. In some embodiments, the result from adder 730 is accumulated with the previous value of the destination. In other embodiments, wider adders and/or multipliers are used.

When used by the execution circuitry to implement a Sparse Matrix Multiply (SMM) instruction, for example, a multiplexer (not shown) at the input of each of the multipliers 702-716 selects the elements from the a matrix that match the corresponding elements of the multiplied B matrix according to an algorithm, as shown and described with respect to fig. 1-6D. Further, according to an algorithm, when used with execution circuitry to implement Sparse Matrix Multiplication (SMM), the output of adder 730 is routed to accumulate with the appropriate output matrix elements.

Of course, it should be noted that when the sparse matrix is collapsed or squeezed, for example, as shown and described with respect to fig. 4-6D, the multiplexers 702-716 select from the additional a matrix elements. Similarly, when a product is generated using folded elements, an adder other than adder 730 will be required to accumulate this product with the appropriate elements of the destination matrix (also referred to as the C matrix).

Exemplary method of accelerating sparse matrix multiplication

FIG. 8 is a block flow diagram illustrating a processor that executes Sparse Matrix Multiply (SMM) instructions in accordance with some embodiments. As shown, flow 800 begins at 802, where a processor is to fetch an SMM instruction having fields to specify locations of a first matrix, a second matrix, and an output matrix using fetch circuitry, the specified second matrix being a sparse matrix, the fetch circuitry further to fetch and store elements of the specified first and second matrices from their specified locations into a register file. At 804, the processor is to decode the fetched SMM instructions using the decode circuitry. At 806, the processor is to respond to the decoded SMM instruction with execution circuitry to compact a specified second matrix stored in the register file by replacing one or more zero-value elements with yet-to-be-processed non-zero elements, each replaced element including a field to identify its logical position within the second matrix; and further using the execution circuitry to: for each non-zero element at row M and column K of the specified first matrix, generating a product of the non-zero element with each respective non-zero element at row K and column N of the compacted second matrix, and accumulating each generated product with a previous value of the respective element at row M and column N of the specified output matrix. In some embodiments, at 808, the processor is to write back execution results and retire SMM instructions. As indicated by its dashed border, operation 808 is optional as long as it can occur at a different time or not at all.

Exemplary Format of Sparse Matrix Multiply (SMM) instruction

Fig. 9 is a format of a Sparse Matrix Multiply (SMM) instruction according to some embodiments. As shown, the Sparse Matrix Multiply (SMM) instruction 900 includes an opcode 902 (SMM), and fields to specify a destination 904 matrix, a source 1906 matrix, and a source 2908 matrix. As used herein, source 1, source 2, and destination matrices are sometimes referred to as a, B, and C matrices, respectively. The SMM instructions 900 further include optional fields for specifying a data format 910, such as integer, half-precision floating point, single-precision floating point, or double-precision floating point, and an element size 912 in terms of number of bits per matrix element. SMM instruction 900 sometimes includes fields to specify M914, N916, and K918, where the specified a, B, and C matrices have (M × K), (K × N), and (M × N) elements, respectively. As indicated by its dashed borders, data format 910, element size 912, M914, N916, and K918 are optional as long as they can be omitted, and predetermined default values are assumed. In some embodiments, one or more of the data format 910, element size 912, M914, N916, and K918 are specified as part of the opcode 902, e.g., as a selected code, suffix, or prefix of the opcode. For example, the opcode 902 may include a suffix such as "B," "W," "D," or "Q" to specify an element size of eight, sixteen, thirty-two, or sixty-four bits, respectively. Opcode 902 is shown to include an asterisk to indicate that it may optionally include an additional prefix or suffix to specify instruction behavior. If the SMM instructions 900 do not specify any of the optional parameters, a predetermined default value is applied as needed. The format of the SMM instructions 900 is further shown and described with respect to fig. 10A-10B and 11A-11D.

Instruction set

The instruction set may include one or more instruction formats. A given instruction format may define various fields (e.g., number of bits)Location) to specify the operation (e.g., opcode) to be performed and the operand(s) and/or other data field(s) (e.g., mask) on which the operation is to be performed, and so on. Some instruction formats are further decomposed by the definition of instruction templates (or subformats). For example, an instruction template for a given instruction format may be defined to have different subsets of the fields of the instruction format (the included fields are typically in the same order, but at least some fields have different bit positions, since fewer fields are included) and/or to have a given field interpreted differently. Thus, each instruction of the ISA is expressed using a given instruction format (and, if defined, a given one of the instruction templates of that instruction format) and includes fields for specifying operations and operands. For example, an exemplary ADD instruction has a particular opcode and instruction format that includes an opcode field to specify the opcode and an operand field to select operands (source 1/destination and source 2); and the ADD instruction appearing in the instruction stream will have particular contents in the operand field that select particular operands. The SIMD extension sets referred to as advanced vector extensions (AVX) (AVX1 and AVX2) and utilizing Vector Extension (VEX) encoding schemes have been introduced and/or released (see, e.g., month 9 of 2014)64 and IA-32 architecture software developer manuals; and see month 10 2014High-level vector extension programming reference).

Exemplary instruction Format

Embodiments of the instruction(s) described herein may be embodied in different formats. Additionally, exemplary systems, architectures, and pipelines are detailed below. Embodiments of the instruction(s) may be executed on such systems, architectures, and pipelines, but are not limited to those detailed.

Universal vector friendly instruction format

The vector friendly instruction format is an instruction format that is appropriate for vector instructions (e.g., there are specific fields dedicated to vector operations). Although embodiments are described in which both vector and scalar operations are supported by the vector friendly instruction format, alternative embodiments use only vector operations by the vector friendly instruction format.

10A-10B are block diagrams illustrating a generic vector friendly instruction format and instruction templates thereof according to some embodiments of the invention. FIG. 10A is a block diagram illustrating the generic vector friendly instruction format and class A instruction templates thereof according to some embodiments of the invention; and FIG. 10B is a block diagram illustrating the generic vector friendly instruction format and class B instruction templates thereof according to some embodiments of the invention. In particular, class a and class B instruction templates are defined for the generic vector friendly instruction format 1000, both of which include an instruction template with no memory access 1005 and an instruction template with memory access 1020. The term "generic" in the context of a vector friendly instruction format refers to an instruction format that is not tied to any particular instruction set.

Although embodiments of the invention will be described in which the vector friendly instruction format supports the following: a 64 byte vector operand length (or size) and a 32 bit (4 byte) or 64 bit (8 byte) data element width (or size) (and thus, a 64 byte vector consists of 16 elements of a doubleword size, or alternatively 8 elements of a quadword size); a 64 byte vector operand length (or size) and a 16 bit (2 byte) or 8 bit (1 byte) data element width (or size); a 32 byte vector operand length (or size) and a 32 bit (4 byte), 64 bit (8 byte), 16 bit (2 byte) or 8 bit (1 byte) data element width (or size); and a 16 byte vector operand length (or size) and 32 bit (4 byte), 64 bit (8 byte), 16 bit (2 byte), or 8 bit (1 byte) data element width (or size); alternative embodiments may support larger, smaller, and/or different vector operand sizes (e.g., 256 byte vector operands) and larger, smaller, or different data element widths (e.g., 128 bit (16 byte) data element widths).

The class a instruction templates in fig. 10A include: 1) within the instruction templates of no memory access 1005, an instruction template of a full round-control type operation 1010 of no memory access and an instruction template of a data transform type operation 1015 of no memory access are shown; and 2) within the instruction templates of memory access 1020, an instruction template of timeliness 1025 of memory accesses and an instruction template of non-timeliness 1030 of memory accesses are shown. The class B instruction templates in FIG. 10B include: 1) within the instruction templates of no memory access 1005, an instruction template of a no memory access, write mask controlled, partial round control type operation 1012 and an instruction template of a no memory access, write mask controlled, vsize type operation 1017 are shown; and 2) within the instruction templates of memory access 1020, an instruction template of a writemask control 1027 of the memory access is shown.

The generic vector friendly instruction format 1000 includes the following fields listed below in the order illustrated in FIGS. 10A-10B.

Format field 1040 — a particular value (instruction format identifier value) in this field uniquely identifies the vector friendly instruction format and, thus, identifies the instruction as occurring in the vector friendly instruction format in the instruction stream. Thus, this field is optional in the sense that it is not needed for instruction sets that have only the generic vector friendly instruction format.

Base operation field 1042 — its content distinguishes between different base operations.

Register index field 1044 — its content specifies the location of a source or destination operand in a register or in memory, either directly or through address generation. These fields include a sufficient number of bits to select N registers from PxQ (e.g., 32x512, 16x128, 32x1024, 64x1024) register files. Although N may be up to three source registers and one destination register in one embodiment, alternative embodiments may support more or fewer source and destination registers (e.g., up to two sources may be supported with one of the sources also serving as a destination; up to three sources may be supported with one of the sources also serving as a destination; up to two sources and one destination may be supported).

A modifier field 1046 whose contents distinguish instructions in the generic vector instruction format that specify memory accesses from instructions in the generic vector instruction format that do not specify memory accesses; i.e., to distinguish between instruction templates with no memory access 1005 and instruction templates with memory access 1020. Memory access operations read and/or write to the memory hierarchy (in some cases specifying source and/or destination addresses using values in registers), while non-memory access operations do not (e.g., the source and destination are registers). While in one embodiment this field also selects between three different ways to perform memory address calculations, alternative embodiments may support more, fewer, or different ways to perform memory address calculations.

Augmentation operation field 1050 — its content distinguishes which of a variety of different operations to perform in addition to the base operation. This field is context specific. In some embodiments, this field is divided into a class field 1068, an alpha field 1052, and a beta field 1054. The augmentation operation field 1050 allows multiple sets of common operations to be performed in a single instruction rather than 2, 3, or 4 instructions.

Proportion field 1060-its contents are allowed for memory address generation (e.g., for use (2)Ratio ofIndex + base address) address generation).

Displacement field 1062A-whose contents are used as part of memory address generation (e.g., for use (2)Ratio ofIndex + base + displacement)).

Displacement factor field 1062B (note that the juxtaposition of displacement field 1062A directly on displacement factor field 1062B indicates the use of one or the other) -its contents are used as part of address generation; it specifies a displacement factor that will scale the size (N) of the memory access-where N is the number of bytes in the memory access (e.g., for use (2)Ratio ofIndex + base address + scaled displacement)). Ignoring the redundant low-order bits and thus multiplying the contents of the displacement factor field by the total memory operand size (N)) To generate the final displacement to be used in calculating the effective address. The value of N is determined by the processor hardware at runtime based on the full opcode field 1074 (described later herein) and the data manipulation field 1054C. The displacement field 1062A and the displacement factor field 1062B are optional in the sense that the displacement field 1062A and the displacement factor field 1062B are not used for instruction templates with no memory access 1005 and/or different embodiments may implement only one or none of the two.

Data element width field 1064 — its content distinguishes which of a plurality of data element widths is to be used (for all instructions in some embodiments; for only some of the instructions in other embodiments). This field is optional in the sense that it is not needed if only one data element width is supported and/or some aspect of the opcode is used to support the data element width.

A writemask field 1070-whose contents control, data element position by data element position, whether the data element position in the destination vector operand reflects the results of the base operation and the augmentation operation. Class a instruction templates support merge-writemask, while class B instruction templates support both merge-writemask and return-to-zero-writemask. When merging, the vector mask allows any set of elements in the destination to be protected from updates during execution of any operation (specified by the base and augmentation operations); in another embodiment, the old value of each element of the destination where the corresponding mask bit has a0 is maintained. Conversely, when zero, the vector mask allows any set of elements in the destination to be zeroed out during execution of any operation (specified by the base and augmentation operations); in one embodiment, the element of the destination is set to 0 when the corresponding mask bit has a value of 0. A subset of this functionality is the ability to control the vector length of the operation being performed (i.e., the span from the first to the last element being modified), however, the elements being modified are not necessarily contiguous. Thus, the writemask field 1070 allows for partial vector operations, which include load, store, arithmetic, logic, and the like. Although embodiments of the invention are described in which the contents of writemask field 1070 selects one of a plurality of writemask registers that contains a writemask to be used (and thus, the contents of writemask field 1070 indirectly identifies a mask to be performed), alternative embodiments alternatively or additionally allow the contents of writemask field 1070 to directly specify a mask to be performed.

Immediate field 1072-its contents allow for the specification of an immediate. This field is optional in the sense that it is not present in implementing a generic vector friendly format that does not support immediate and is not present in instructions that do not use immediate.

Class field 1068-the contents of which distinguish between instructions of different classes. Referring to FIGS. 10A-10B, the contents of this field select between class A and class B instructions. In fig. 10A-10B, rounded squares are used to indicate that a particular value is present in a field (e.g., a class a 1068A and a class B1068B for the class field 1068 in fig. 10A-10B, respectively).

Class A instruction template

In the case of an instruction template for a class a non-memory access 1005, the alpha field 1052 is interpreted as an RS field 1052A whose content distinguishes which of the different types of augmentation operations are to be performed (e.g., rounding 1052a.1 and data transformation 1052a.2 are specified for the instruction templates for a no memory access rounding type operation 1010 and a no memory access data transformation type operation 1015, respectively), while the beta field 1054 distinguishes which of the specified types of operations are to be performed. In the instruction templates without memory access 1005, the scale field 1060, the displacement field 1062A, and the displacement scale field 1062B are not present.

Instruction templates with no memory access-full round control type operations

In the instruction templates of the full round-control type operation 1010 without memory access, the β field 1054 is interpreted as a round-control field 1054A whose content(s) provide static rounding. Although in the described embodiment of the present invention the round control field 1054A includes a suppress all floating point exceptions (SAE) field 1056 and a round operation control field 1058, alternative embodiments may support both concepts, may encode both concepts as the same field, or may have only one or the other of the concepts/fields (e.g., may have only the round operation control field 1058).

SAE field 1056 — its content distinguishes whether exception event reporting is disabled; when the contents of the SAE field 1056 indicate that throttling is enabled, the given instruction does not report any kind of floating point exception flag, and does not invoke any floating point exception handler.

Rounding operation control field 1058 — its contents distinguish which of a set of rounding operations is to be performed (e.g., round up, round down, round to zero, and round to near). Thus, the rounding operation control field 1058 allows the rounding mode to be changed on an instruction-by-instruction basis. In some embodiments where the processor includes a control register for specifying the rounding mode, the contents of the rounding operation control field 1050 override the register value.

Instruction template-data transformation type operation without memory access

In the instruction template of the no memory access, data transform type operation 1015, the β field 1054 is interpreted as a data transform field 1054B, the contents of which distinguish which of a plurality of data transforms is to be performed (e.g., no data transform, mix, broadcast).

In the case of an instruction template for class a memory access 1020, the alpha field 1052 is interpreted as an eviction hint field 1052B whose contents distinguish which of the eviction hints is to be used (in fig. 10A, timeliness 1052b.1 and non-timeliness 1052b.2 are specified for an instruction template for memory access timeliness 1025 and an instruction template for memory access aperiodicity 1030, respectively), while the beta field 1054 is interpreted as a data manipulation field 1054C whose contents distinguish which of a plurality of data manipulation operations (also referred to as primitives) are to be performed (e.g., no manipulation, broadcast, up-conversion of source, and down-conversion of destination). The instruction templates of memory access 1020 include a scale field 1060 and optionally a displacement field 1062A or a displacement scale field 1062B.

Vector memory instructions use translation support to perform vector loads from memory and vector stores to memory. As with the usual vector instructions, vector memory instructions transfer data from/to memory in a data-element-wise manner, with the actual elements transferred being specified by the contents of the vector mask selected as the write mask.

Instruction templates for memory access-time efficient

Time sensitive data is data that may be reused fast enough to benefit from cache operations. However, this is a hint, and different processors can implement it in different ways, including ignoring the hint altogether.

Instruction templates for memory access-non-time sensitive

Non-time sensitive data is data that is not likely to be reused fast enough to benefit from cache operations in the first level cache and should be given eviction priority. However, this is a hint, and different processors can implement it in different ways, including ignoring the hint altogether.

Class B instruction templates

In the case of a class B instruction template, the alpha field 1052 is interpreted as a writemask control (Z) field 1052C, whose content distinguishes whether the writemask controlled by the writemask field 1070 should be merged or zeroed.

In the case of an instruction template for a class B non-memory access 1005, a portion of the β field 1054 is interpreted as the RL field 1057A, whose content distinguishes which of the different augmentation operation types is to be performed (e.g., the instruction template for the no memory access, write mask controlled partial round control type operation 1012 and the no memory access, write mask controlled VSIZE type operation 1017 specify round 1057a.1 and vector length (VSIZE)1057a.2, respectively), while the remainder of the β field 1054 distinguishes which of the specified types of operations is to be performed. In the instruction templates without memory access 1005, the scale field 1060, the displacement field 1062A, and the displacement scale field 1062B are not present.

In the instruction templates of the no memory access, writemask controlled partial round control type operation 1010, the remainder of the β field 1054 is interpreted as the round operation field 1059A and exception event reporting is disabled (a given instruction does not report any kind of floating point exception flag and does not invoke any floating point exception handler).

Rounding operation control field 1059A — just like the rounding operation control field 1058, its contents distinguish which of a set of rounding operations is to be performed (e.g., round up, round down, round to zero, and round to near). Thus, the rounding operation control field 1059A allows the rounding mode to be changed instruction by instruction. In some embodiments where the processor includes a control register for specifying the rounding mode, the contents of the rounding operation control field 1050 override this register value.

In the instruction templates of the no memory access, write mask control VSIZE type operation 1017, the remainder of the β field 1054 is interpreted as a vector length field 1059B, whose contents distinguish which of a plurality of data vector lengths (e.g., 128 bytes, 256 bytes, or 512 bytes) is to be performed.

In the case of the instruction template of class B memory access 1020, a portion of the β field 1054 is interpreted as a broadcast field 1057B, whose content distinguishes whether a broadcast-type data manipulation operation is to be performed, while the remainder of the β field 1054 is interpreted as a vector length field 1059B. The instruction templates of memory access 1020 include a scale field 1060 and optionally a displacement field 1062A or a displacement scale field 1062B.

For the generic vector friendly instruction format 1000, the full opcode field 1074 is shown to include a format field 1040, a base operation field 1042, and a data element width field 1064. Although one embodiment is shown in which the full opcode field 1074 includes all of these fields, in an embodiment in which all of these fields are not supported, the full opcode field 1074 includes less than all of these fields. The full opcode field 1074 provides an opcode (operation code).

The augmentation operation field 1050, the data element width field 1064, and the writemask field 1070 allow these features to be specified instruction by instruction in the generic vector friendly instruction format.

The combination of the write mask field and the data element width field creates various types of instructions because these instructions allow the mask to be applied based on different data element widths.

The various instruction templates that occur within class a and class B are beneficial in different situations. In some embodiments of the invention, different processors or different cores within a processor may support only class A, only class B, or both. For example, a high performance general out-of-order core intended for general purpose computing may support only class B, a core intended primarily for graphics and/or scientific (throughput) computing may support only class a, and a core intended for both general purpose computing and graphics and/or scientific (throughput) computing may support both class a and class B (of course, cores having some mix of templates and instructions from both classes, but not all templates and instructions from both classes, are within the scope of the invention). Also, a single processor may include multiple cores that all support the same class, or where different cores support different classes. For example, in a processor with separate graphics cores and general-purpose cores, one of the graphics cores intended primarily for graphics and/or scientific computing may support only class a, while one or more of the general-purpose cores may be high performance general-purpose cores with out-of-order execution and register renaming intended for general-purpose computing that support only class B. Another processor that does not have a separate graphics core may include one or more general-purpose in-order or out-of-order cores that support both class a and class B. Of course, features from one class may also be implemented in other classes in different embodiments of the invention. A program written in a high-level language will be made (e.g., just-in-time compiled or statically compiled) into a variety of different executable forms, including: 1) instructions in the form of only class(s) supported by the target processor for execution; or 2) have alternate routines written using different combinations of instructions of all classes and have a form of control flow code that selects these routines to execute based on instructions supported by the processor currently executing the code.

Exemplary specific vector friendly instruction Format

FIG. 11 is a block diagram illustrating an exemplary specific vector friendly instruction format according to some embodiments of the invention. Fig. 11A illustrates a specific vector friendly instruction format 1100 that specifies the location, size, interpretation, and order of the fields, as well as the values of some of those fields, in the sense that the specific vector friendly instruction format 1100 is specific. The specific vector friendly instruction format 1100 may be used to extend the x86 instruction set, and thus some of the fields are similar or identical to those used in the existing x86 instruction set and its extensions (e.g., AVX). This format remains consistent with the prefix encoding field, real opcode byte field, MOD R/M field, SIB field, displacement field, and immediate field of the existing x86 instruction set with extensions. Illustrating fields from fig. 10, fields from fig. 11 map to fields from fig. 13.

It should be appreciated that although embodiments of the present invention are described with reference to the specific vector friendly instruction format 1100 in the context of the generic vector friendly instruction format 1000 for purposes of illustration, the present invention is not limited to the specific vector friendly instruction format 1100 unless otherwise stated. For example, the generic vector friendly instruction format 1000 contemplates a variety of possible sizes for various fields, while the specific vector friendly instruction format 1100 is shown with fields of a particular size. As a specific example, although the data element width field 1064 is illustrated as a one-bit field in the specific vector friendly instruction format 1100, the invention is not so limited (i.e., the generic vector friendly instruction format 1000 contemplates other sizes for the data element width field 1064).

The generic vector friendly instruction format 1000 includes the following fields listed below in the order illustrated in FIG. 11A.

EVEX prefix (bytes 0-3)1102 — encoded in four bytes.

Format field 1040(EVEX byte 0, bits [7:0]) -the first byte (EVEX byte 0) is format field 1040, and it contains 0x62 (in some embodiments, a unique value to distinguish the vector friendly instruction format).

The second-fourth bytes (EVEX bytes 1-3) include a plurality of bit fields that provide dedicated capabilities.

REX field 1105(EVEX byte 1, bits [7-5]) -is composed of an EVEX.R bit field (EVEX byte 1, bits [7] -R), an EVEX.X bit field (EVEX byte 1, bits [6] -X), and (1057BEX byte 1, bits [5] -B). The evex.r, evex.x, and evex.b bit fields provide the same functionality as the corresponding VEX bit fields and are encoded using a 1's complement form, i.e., ZMM0 is encoded as 1111B and ZMM15 is encoded as 0000B. Other fields of these instructions encode the lower three bits of the register index (rrr, xxx, and bbb) as known in the art, whereby Rrrr, Xxxx, and Bbbb may be formed by adding evex.r, evex.x, and evex.b.

REX 'field 1110-this is the first portion of REX' field 1110 and is the EVEX.R 'bit field (EVEX byte 1, bits [4] -R') used to encode the upper 16 or lower 16 registers of the extended 32 register set. In some embodiments, this bit is stored in a bit-reversed format (in the 32-bit mode known as x 86) along with other bits indicated below to distinguish from a BOUND instruction whose real opcode byte is 62, but which does not accept the value 11 in the MOD field in the MOD R/M field (described below); alternate embodiments of the present invention do not store the bits of this indication in an inverted format, as well as the bits of the other indications below. The value 1 is used to encode the lower 16 registers. In other words, R 'Rrrr is formed by combining evex.r', evex.r, and other RRRs from other fields.

Opcode map field 1115(EVEX byte 1, bits [3:0] -mmmm) -the contents of which encode the implied preamble opcode byte (0F, 0F 38, or 0F 3).

Data element width field 1064(EVEX byte 2, bits [7] -W) -represented by the notation EVEX.W. Evex.w is used to define the granularity (size) of the data type (32-bit data element or 64-bit data element).

Evex.vvv 1120(EVEX byte 2, bits [6:3] -vvv) — the role of evex.vvv may include the following: 1) vvvvv encodes a first source register operand specified in inverted (1's complement) form and is valid for an instruction having two or more source operands; 2) vvvvv encodes a destination register operand specified in 1's complement for a particular vector displacement; or 3) evex. vvvvv does not encode any operand, this field is reserved, and it should contain 1111 b. Evex. vvvvv field 1120 thus encodes the 4 low order bits of the first source register specifier, which are stored in inverted (1's complement) form. Depending on the instruction, an additional different EVEX bit field is used to extend the specifier size to 32 registers.

Evex.u 1068 type field (EVEX byte 2, bit [2] -U) — if evex.u ═ 0, it indicates a type or evex.u 0; if evex.u is 1, it indicates class B or evex.u 1.

Prefix encoding field 1125(EVEX byte 2, bits [1:0] -pp) — additional bits for the base operation field are provided. In addition to providing support for legacy SSE instructions in the EVEX prefix format, this also has the benefit of compacting the SIMD prefix (the EVEX prefix requires only 2 bits instead of bytes to express the SIMD prefix). In one embodiment, to support legacy SSE instructions that use SIMD prefixes (66H, F2H, F3H) both in legacy format and in EVEX prefix format, these legacy SIMD prefixes are encoded into SIMD prefix encoding fields; and at runtime are extended into the legacy SIMD prefix before being provided to the decoder's PLA (thus, without modification, the PLA can execute both these legacy instructions in the legacy format and those in the EVEX format). While newer instructions may use the contents of the EVEX prefix encoding field directly as an opcode extension, certain embodiments extend in a similar manner for consistency, but allow for different meanings specified by these legacy SIMD prefixes. Alternate embodiments may redesign the PLA to support 2-bit SIMD prefix encoding, and thus do not require expansion.

Alpha field 1052(EVEX byte 3, bits [7] -EH, also known as EVEX. EH, EVEX.rs, EVEX. RL, EVEX. write mask control, and EVEX.N; also illustrated by alpha) — this field is context specific, as previously described.

Beta field 1054(EVEX byte 3, bits [6:4]]SSS, also known as EVEX.s2-0、EVEX.r2-0Evex.rr1, evex.ll0, evex.llb, also illustrated by β β β) — as previously mentioned, this field is for context.

REX 'field 1110B — this is the remainder of REX' field 1110 and is the EVEX.V 'bit field (EVEX byte 3, bits [3] -V') that may be used to encode the upper 16 or lower 16 registers of the extended 32 register set. The bit is stored in a bit-reversed format. The value 1 is used to encode the lower 16 registers. In other words, V 'VVVV is formed by combining evex.v', evex.vvvvvvv.

Writemask field 1070(EVEX byte 3, bits [2:0] -kkk) -whose contents specify the index of the register in the writemask register, as previously described. In some embodiments, the particular value evex.kkk 000 has special behavior that implies that there is no writemask for the particular instruction (this can be implemented in various ways, including using writemask hardwired to all objects or hardware that bypasses the masking hardware).

The real opcode field 1130 (byte 4) is also referred to as an opcode byte. A portion of the opcode is specified in this field.

MOD R/M field 1140 (byte 5) includes MOD field 1142, Reg field 1144, and R/M field 1146. As previously described, the contents of MOD field 1142 distinguish between memory access operations and non-memory access operations. The role of Reg field 1144 can be ascribed to two cases: encoding a destination register operand or a source register operand; or as an opcode extension and is not used to encode any instruction operands. The role of the R/M field 1146 may include the following: encoding an instruction operand that references a memory address; or encode a destination register operand or a source register operand.

Scale, index, base address (SIB) byte (byte 6) -as previously described, the contents of the scale field 1050 are used for memory address generation. Sib 1154 and sib 1156-the contents of these fields have been mentioned previously for register indices Xxxx and Bbbb.

Displacement field 1062A (bytes 7-10) — when MOD field 1142 contains 10, bytes 7-10 are the displacement field 1062A, and it works the same as the legacy 32-bit displacement (disp32), and works at byte granularity.

Displacement factor field 1062B (byte 7) — when MOD field 1142 contains 01, byte 7 is the displacement factor field 1062B. The location of this field is the same as the location of the conventional x86 instruction set 8-bit displacement (disp8) that works at byte granularity. Since disp8 is sign extended, it can only address between-128 and 127 byte offsets; in terms of a 64 byte cache line, disp8 uses 8 bits that can be set to only four truly useful values-128, -64, 0, and 64; since a greater range is often required, disp32 is used; however, disp32 requires 4 bytes. In contrast to disp8 and disp32, the displacement factor field 1062B is a reinterpretation of disp 8; when the displacement factor field 1062B is used, the actual displacement is determined by multiplying the contents of the displacement factor field by the size of the memory operand access (N). This type of displacement is called disp8 × N. This reduces the average instruction length (a single byte is used for displacement, but with a much larger range). Such compressed displacement is based on the assumption that the effective displacement is a multiple of the granularity of the memory access, and thus the redundant low-order bits of the address offset do not need to be encoded. In other words, the displacement factor field 1062B replaces the conventional x86 instruction set 8-bit displacement. Thus, the displacement factor field 1062B is encoded in the same manner as the x86 instruction set 8-bit displacement (and thus, there is no change in the ModRM/SIB encoding rules), the only difference being that disp8 is overloaded to disp8 × N. In other words, there is no change in the encoding rules or encoding length, but only in the interpretation of the displacement values by hardware (which requires scaling the displacement by the size of the memory operand to obtain the byte address offset). The immediate field 1172 operates as previously described.

Complete operation code field

Fig. 11B is a block diagram illustrating fields of the specific vector friendly instruction format 1100 that make up the full opcode field 1074 in accordance with some embodiments. In particular, the full opcode field 1074 includes a format field 1040, a base operation field 1042, and a data element width (W) field 1064. The base operation field 1042 includes a prefix encoding field 1125, an opcode map field 1115, and a real opcode field 1130.

Register index field

Fig. 11C is a block diagram illustrating the fields of the specific vector friendly instruction format 1100 that make up the register index field 1044, in accordance with some embodiments. Specifically, the register index field 1044 includes a REX field 1105, a REX' field 1110, a MODR/m.reg field 1144, a MODR/M.r/m field 1146, a VVVV field 1120, a xxx field 1154, and a bbb field 1156.

Extended operation field

FIG. 11D is a block diagram illustrating fields of the specific vector friendly instruction format 1100 that make up the augmentation operation field 1050 in accordance with some embodiments. When the class (U) field 1068 contains 0, it indicates evex.u0(a class 1068A); when it contains 1, it indicates evex.u1 (class B1068B). When U is 0 and MOD field 1142 contains 11 (indicating no memory access operation), α field 1052(EVEX byte 3, bits [7] -EH) is interpreted as rs field 1052A. When the rs field 1052A contains a 1 (round 1052A.1), the β field 1054(EVEX byte 3, bits [6:4] -SSS) is interpreted as the round control field 1054A. The round control field 1054A includes a one-bit SAE field 1056 and a two-bit round operation field 1058. When the rs field 1052A contains a0 (data transform 1052A.2), the beta field 1054(EVEX byte 3, bits [6:4] -SSS) is interpreted as a three-bit data transform field 1054B. When U is 0 and the MOD field 1142 contains 00, 01, or 10 (indicating a memory access operation), the α field 1052(EVEX byte 3, bits [7] -EH) is interpreted as an Eviction Hint (EH) field 1052B and the β field 1054(EVEX byte 3, bits [6:4] -SSS) is interpreted as a three-bit data manipulation field 1054C.

When U is 1, α field 1052(EVEX byte 3, bit [7 ])]EH) is interpreted as a write mask control (Z) field 1052C. When U is 1 and MOD field 1142 includes 11 (indicating no memory access operation), a portion of β field 1054(EVEX byte 3, bit [4 ])]–S0) Interpreted as RL field 1057A; the remainder of the beta field 1054(EVEX byte 3, bits [6-5 ]) when it contains 1 (rounded 1057A.1)]–S2-1) Interpreted as a rounding operation field 1059A, and when the RL field 1057A contains a 0(VSIZE 1057.A2), the remainder of the β field 1054(EVEX byte 3, bits [6-5 ]]-S2-1) Is interpreted as a vector length field 1059B (EVEX byte 3, bits [6-5 ]]–L1-0). When U is 1 and MOD field 1142 contains 00, 01, or 10 (indicating a memory access operation), the β field1054(EVEX byte 3, bits [6:4]]SSS) is interpreted as vector length field 1059B (EVEX byte 3, bits [6-5 ]]–L1-0) And broadcast field 1057B (EVEX byte 3, bit [4]]–B)。

Exemplary register architecture

Fig. 12 is a block diagram of a register architecture 1200 according to some embodiments. In the illustrated embodiment, there are 32 vector registers 1210 that are 512 bits wide; these registers are referenced zmm0 through zmm 31. The lower order 256 bits of the lower 16 zmm registers are overlaid (overlaid) on the registers ymm 0-16. The lower order 128 bits of the lower 16 zmm registers (the lower order 128 bits of the ymm registers) are overlaid on the registers xmm 0-15. The specific vector friendly instruction format 1100 operates on these overlaid register files as illustrated in the following table.

In other words, the vector length field 1059B selects between a maximum length and one or more other shorter lengths, where each such shorter length is half of the previous length, and instruction templates that do not have the vector length field 1059B operate on the maximum vector length. Furthermore, in one embodiment, the class B instruction templates of the specific vector friendly instruction format 1100 operate on packed or scalar single/double precision floating point data as well as packed or scalar integer data. Scalar operations are operations performed on the lowest order data element positions in the zmm/ymm/xmm registers; depending on the embodiment, the higher order data element positions either remain the same as before the instruction or are zeroed out.

Writemask register 1215 — in the illustrated embodiment, there are 8 writemask registers (k0 through k7), each 64 bits in size. In an alternative embodiment, the size of the writemask register 1215 is 16 bits. As previously described, in some embodiments, vector mask register k0 cannot be used as a write mask; when the encoding of normal indication k0 is used as a writemask, it selects the hardwired writemask 0xffff, effectively disabling the writemask for that instruction.

General purpose registers 1225 — in the illustrated embodiment, there are sixteen 64-bit general purpose registers that are used with the existing x86 addressing mode to address memory operands. These registers are referred to by the names RAX, RBX, RCX, RDX, RBP, RSI, RDI, RSP, and R8 through R15.

A scalar floating point stack register file (x87 stack) 1245 on which is superimposed an MMX packed integer flat register file 1250 — in the illustrated embodiment, the x87 stack is an eight element stack for performing scalar floating point operations on 32/64/80-bit floating point data using the x87 instruction set extension; while the MMX registers are used to perform operations on 64-bit packed integer data and to hold operands for some operations performed between the MMX and XMM registers.

Alternate embodiments may use wider or narrower registers. In addition, alternative embodiments may use more, fewer, or different register files and registers.

Exemplary core architecture, processor, and computer architecture

Processor cores can be implemented in different processors in different ways for different purposes. For example, implementations of such cores may include: 1) a general-purpose ordered core intended for general-purpose computing; 2) a high performance general out-of-order core intended for general purpose computing; 3) dedicated cores intended primarily for graphics and/or scientific (throughput) computing. Implementations of different processors may include: 1) a CPU comprising one or more general-purpose in-order cores intended for general-purpose computing and/or one or more general-purpose out-of-order cores intended for general-purpose computing; and 2) coprocessors comprising one or more dedicated cores intended primarily for graphics and/or science (throughput). Such different processors result in different computer system architectures that may include: 1) a coprocessor on a separate chip from the CPU; 2) a coprocessor in the same package as the CPU but on a separate die; 3) coprocessors on the same die as the CPU (in which case such coprocessors are sometimes referred to as dedicated logic, such as integrated graphics and/or scientific (throughput) logic, or as dedicated cores); and 4) a system on chip that can include the described CPU (sometimes referred to as application core(s) or application processor(s), coprocessors and additional functionality described above on the same die. An exemplary core architecture is described next, followed by an exemplary processor and computer architecture.

Exemplary core architecture

In-order and out-of-order core block diagrams

FIG. 13A is a block diagram illustrating an exemplary in-order pipeline and an exemplary register renaming out-of-order issue/execution pipeline according to some embodiments of the invention. FIG. 13B is a block diagram illustrating an example embodiment of an in-order architecture core and an example register renaming out-of-order issue/execution architecture core to be included in a processor according to some embodiments of the invention. The solid line blocks in fig. 13A-13B illustrate an in-order pipeline and an in-order core, while the optional addition of the dashed blocks illustrates a register renaming, out-of-order issue/execution pipeline and core. Given that the ordered aspect is a subset of the out-of-order aspect, the out-of-order aspect will be described.

In fig. 13A, the processor pipeline 1300 includes a fetch stage 1302, a length decode stage 1304, a decode stage 1306, an allocation stage 1308, a rename stage 1310, a scheduling (also known as dispatch or issue) stage 1312, a register read/memory read stage 1314, an execute stage 1316, a write back/memory write stage 1318, an exception handling stage 1322, and a commit stage 1324.

Fig. 13B illustrates a processor core 1390, the processor core 1390 including a front end unit 1330, the front end unit 1330 coupled to an execution engine unit 1350, and both the front end unit 1330 and the execution engine unit 1350 coupled to a memory unit 1370. The core 1390 may be a Reduced Instruction Set Computing (RISC) core, a Complex Instruction Set Computing (CISC) core, a Very Long Instruction Word (VLIW) core, or a hybrid or alternative core type. As yet another option, the core 1390 may be a special-purpose core, such as, for example, a network or communication core, compression engine, coprocessor core, general purpose computing graphics processing unit (GPGPU) core, graphics core, or the like.

Front end unit 1330 includes a branch prediction unit 1332, the branch prediction unit 1332 being coupled to an instruction cache unit 1334, the instruction cache unit 1334 being coupled to an instruction Translation Lookaside Buffer (TLB)1336, the instruction translation lookaside buffer 1336 being coupled to an instruction fetch unit 1338, the instruction fetch unit 1338 being coupled to a decode unit 1340. The decode unit 1340 (or decoder) may decode the instruction and generate as output one or more micro-operations, micro-code entry points, micro-instructions, other instructions, or other control signals decoded from, or otherwise reflective of, the original instruction. The decode unit 1340 may be implemented using a variety of different mechanisms. Examples of suitable mechanisms include, but are not limited to, look-up tables, hardware implementations, Programmable Logic Arrays (PLAs), microcode read-only memories (ROMs), and the like. In one embodiment, the core 1390 includes a microcode ROM or other medium (e.g., in the decode unit 1340, or otherwise within the front end unit 1330) that stores microcode for certain macro-instructions. The decode unit 1340 is coupled to a rename/allocator unit 1352 in the execution engine unit 1350.

The execution engine unit 1350 comprises a rename/allocator unit 1352, the rename/allocator unit 1352 being coupled to a retirement unit 1354 and a set of one or more scheduler units 1356. Scheduler unit(s) 1356 represent any number of different schedulers, including reservation stations, central instruction windows, and so forth. Scheduler unit(s) 1356 are coupled to physical register file unit(s) 1358. Each physical register file unit in physical register file unit(s) 1358 represents one or more physical register files, where different physical register files store one or more different data types, such as scalar integer, scalar floating point, packed integer, packed floating point, vector integer, vector floating point, state (e.g., an instruction pointer that is an address of a next instruction to be executed), and so forth. In one embodiment, physical register file unit(s) 1358 include vector register units, writemask register units, and scalar register units. These register units may provide architectural vector registers, vector mask registers, and general purpose registers. Physical register file(s) unit 1358 is overlapped by retirement unit 1354 to illustrate the various ways in which register renaming and out-of-order execution may be implemented (e.g., using reorder buffer(s) and retirement register file(s); using future file(s), history buffer(s), retirement register file(s); using register maps and register pools, etc.). Retirement unit 1354 and physical register file(s) unit 1358 are coupled to execution cluster(s) 1360. The execution cluster(s) 1360 includes a set of one or more execution units 1362 and a set of one or more memory access units 1364. Execution unit 1362 may perform various operations (e.g., shifts, additions, subtractions, multiplications) and may perform on various data types (e.g., scalar floating point, packed integer, packed floating point, vector integer, vector floating point). While some embodiments may include multiple execution units dedicated to a particular function or set of functions, other embodiments may include only one execution unit or multiple execution units that all perform all functions. The scheduler unit(s) 1356, physical register file unit(s) 1358, and execution cluster(s) 1360 are shown as being possibly plural, as certain embodiments create separate pipelines for certain types of data/operations (e.g., a scalar integer pipeline, a scalar floating point/packed integer/packed floating point/vector integer/vector floating point pipeline, and/or a memory access pipeline that each have their own scheduler unit, physical register file unit(s), and/or execution cluster-and in the case of a separate memory access pipeline, implement certain embodiments in which only the execution cluster of that pipeline has memory access unit(s) 1364). It should also be understood that where separate pipelines are used, one or more of these pipelines may be issued/executed out-of-order, and the remaining pipelines may be in-order.

The set of memory access units 1364 is coupled to the memory unit 1370, the memory unit 1370 including a data TLB unit 1372, the data TLB unit 1372 coupled to a data cache unit 1374, the data cache unit 1374 coupled to a level two (L2) cache unit 1376. In one exemplary embodiment, the memory access units 1364 may include a load unit, a store address unit, and a store data unit, each of which is coupled to the data TLB unit 1372 in the memory unit 1370. The instruction cache unit 1334 is also coupled to a level two (L2) cache unit 1376 in the memory unit 1370. The L2 cache unit 1376 is coupled to one or more other levels of cache, and ultimately to main memory.

By way of example, the exemplary register renaming out-of-order issue/execution core architecture may implement the pipeline 1300 as follows: 1) instruction fetch 1338 performs fetch stage 1302 and length decode stage 1304; 2) decode unit 1340 performs decode stage 1306; 3) rename/allocator unit 1352 performs allocation stage 1308 and renaming stage 1310; 4) scheduler unit(s) 1356 perform the scheduling stage 1312; 5) physical register file unit(s) 1358 and memory unit 1370 perform the register read/memory read stage 1314; the execution cluster 1360 executes the execution stage 1316; 6) the memory unit 1370 and the physical register file unit(s) 1358 perform the write-back/memory write stage 1318; 7) each unit may involve an exception handling stage 1322; and 8) the retirement unit 1354 and the physical register file unit(s) 1358 execute the commit stage 1324.

Core 1390 may support one or more instruction sets (e.g., the x86 instruction set (with some extensions that have been added with newer versions); the MIPS instruction set of MIPS technologies, inc. of sonyvale, california; the ARM instruction set of ARM holdings, inc. of sonyvale, california (with optional additional extensions such as NEON)), including the instruction(s) described herein. In one embodiment, the core 1390 includes logic to support a packed data instruction set extension (e.g., AVX1, AVX2), thereby allowing operations used by many multimedia applications to be performed using packed data.

It should be appreciated that a core may support multithreading (performing a set of two or more parallel operations or threads), and that multithreading may be accomplished in a variety of ways, including time-division multithreading, simultaneous multithreading (where a single physical core is a physical core)Each of the threads being multithreaded simultaneously provides a logical core), or a combination thereof (e.g., time-division fetching and decoding and thereafter such asSimultaneous multithreading in a hyper-threading technique).

Although register renaming is described in the context of out-of-order execution, it should be understood that register renaming may be used in an in-order architecture. Although the illustrated embodiment of the processor also includes a separate instruction and data cache unit 1334/1374 and a shared L2 cache unit 1376, alternative embodiments may have a single internal cache for both instructions and data, such as, for example, a level one (L1) internal cache or multiple levels of internal cache. In some embodiments, the system may include a combination of an internal cache and an external cache external to the core and/or the processor. Alternatively, all caches may be external to the core and/or processor.

Concrete exemplary ordered core architecture

14A-14B illustrate block diagrams of more specific example in-order core architectures that would be one of several logic blocks in a chip (including other cores of the same type and/or different types). Depending on the application, the logic blocks communicate with some fixed function logic, memory I/O interfaces, and other necessary I/O logic over a high bandwidth interconnection network (e.g., a ring network).

Figure 14A is a block diagram of a single processor core and its connection to the on-die interconnect network 1402 and its local subset of the second level (L2) cache 1404, according to some embodiments of the invention. In one embodiment, the instruction decoder 1400 supports the x86 instruction set with a packed data instruction set extension. The L1 cache 1406 allows low latency access to cache memory into scalar and vector units. While in one embodiment (to simplify the design), scalar unit 1408 and vector unit 1410 use separate register sets (respectively, scalar registers 1412 and vector registers 1414) and data transferred between these registers is written to memory and then read back in from the level one (L1) cache 1406, alternative embodiments of the invention may use different approaches (e.g., use a single register set or include a communication path that allows data to be transferred between the two register files without being written and read back).

The local subset 1404 of the L2 cache is part of a global L2 cache, which is divided into multiple separate local subsets, one for each processor core, of the global L2 cache. Each processor core has a direct access path to its own local subset 1404 of the L2 cache. Data read by a processor core is stored in its L2 cache subset 1404 and can be accessed quickly, in parallel with other processor cores accessing their own local L2 cache subsets. Data written by a processor core is stored in its own L2 cache subset 1404 and is flushed from other subsets, if necessary. The ring network ensures consistency of shared data. The ring network is bidirectional to allow agents such as processor cores, L2 caches and other logic blocks to communicate with each other on-chip. Each ring data path is 1012 bits wide per direction.

Figure 14B is an expanded view of a portion of the processor core in figure 14A according to some embodiments of the invention. FIG. 14B includes the L1 data cache 1406A portion of the L1 cache 1404, along with more detail regarding the vector unit 1410 and the vector registers 1414. In particular, vector unit 1410 is a 16-wide Vector Processing Unit (VPU) (see 16-wide ALU 1428) that executes one or more of integer, single-precision floating-point, and double-precision floating-point instructions. The VPU supports blending of register inputs through blending unit 1420, numerical conversion through numerical conversion units 1422A-B, and replication of memory inputs through replication unit 1424. A writemask register 1426 allows masking of the resulting vector writes.

FIG. 15 is a block diagram of a processor 1500 that may have more than one core, may have an integrated memory controller, and may have an integrated graphics device, according to some embodiments of the invention. The solid line block diagram in fig. 15 illustrates a processor 1500 having a single core 1502A, a system agent 1510, a set of one or more bus controller units 1516, while the optional addition of the dashed line block illustrates an alternative processor 1500 having multiple cores 1502A-N, a set 1514 of one or more integrated memory controller units in the system agent unit 1510, and special purpose logic 1508.

Thus, different implementations of processor 1500 may include: 1) a CPU, where dedicated logic 1508 is integrated graphics and/or scientific (throughput) logic (which may include one or more cores), and cores 1502A-N are one or more general-purpose cores (e.g., general-purpose in-order cores, general-purpose out-of-order cores, a combination of both); 2) coprocessors, where cores 1502A-N are a large number of special-purpose cores intended primarily for graphics and/or science (throughput); and 3) coprocessors, where cores 1502A-N are a number of general purpose ordered cores. Thus, the processor 1500 may be a general-purpose processor, a coprocessor or special-purpose processor, such as, for example, a network or communication processor, compression engine, graphics processor, GPGPU (general purpose graphics processing unit), a high-throughput Many Integrated Core (MIC) coprocessor (including 30 or more cores), embedded processor, or the like. The processor may be implemented on one or more chips. The processor 1500 may be part of and/or may be implemented on one or more substrates using any of a variety of process technologies, such as, for example, BiCMOS, CMOS, or NMOS.

The memory hierarchy includes one or more levels of cache within the core, a set of one or more shared cache units 1506, and an external memory (not shown) coupled to the set of integrated memory controller units 1514. The set of shared cache units 1506 may include one or more intermediate levels of cache, such as a level two (L2), level three (L3), level four (L4), or other levels of cache, a Last Level Cache (LLC), and/or combinations thereof. While in one embodiment, ring-based interconnect unit 1512 interconnects integrated graphics logic 1508 (integrated graphics logic 1058 is an example of and is also referred to herein as application-specific logic), a set of shared cache units 1506, and system agent unit 1510/(integrated memory controller unit(s) 1514, alternative embodiments may interconnect such units using any number of well-known techniques. In one embodiment, coherency is maintained between one or more cache molecules 1506 and cores 1502A-N.

In some embodiments, one or more of the cores 1502A-N are capable of implementing multithreading. System agent 1510 includes those components of coordination and operation cores 1502A-N. The system agent unit 1510 may include, for example, a Power Control Unit (PCU) and a display unit. The PCUs may be, or may include, the logic and components needed to regulate the power states of cores 1502A-N and integrated graphics logic 1508. The display unit is used to drive one or more externally connected displays.

Cores 1502A-N may be homogeneous or heterogeneous in terms of architectural instruction set; that is, two or more of the cores 1502A-N may be capable of executing the same instruction set, while other cores may be capable of executing only a subset of the instruction set or a different instruction set.

Exemplary computer architecture

FIGS. 16-19 are block diagrams of exemplary computer architectures. Other system designs and configurations known in the art for laptops, desktops, handheld PCs, personal digital assistants, engineering workstations, servers, network appliances, hubs, switches, embedded processors, Digital Signal Processors (DSPs), graphics devices, video game devices, set-top boxes, microcontrollers, cell phones, portable media players, handheld devices, and various other electronic devices are also suitable. In general, a wide variety of systems or electronic devices capable of containing a processor and/or other execution logic as disclosed herein are generally suitable.

Referring now to FIG. 16, shown is a block diagram of a system 1600 in accordance with one embodiment of the present invention. The system 1600 may include one or more processors 1610, 1615, which are coupled to a controller hub 1620. In one embodiment, the controller hub 1620 comprises a Graphics Memory Controller Hub (GMCH)1690 and an input/output hub (IOH)1650 (which may be on separate chips); GMCH 1690 includes memory and graphics controllers to which memory 1640 and coprocessor 1645 are coupled; the IOH 1650 couples an input/output (I/O) device 1660 to the GMCH 1690. Alternatively, one or both of the memory and graphics controllers are integrated within the processor (as described herein), memory 1640 and coprocessor 1645 are coupled directly to processor 1610, and controller hub 1620 and IOH 1650 are in a single chip.

The optional nature of additional processors 1615 is represented in fig. 16 by dashed lines. Each processor 1610, 1615 may include one or more of the processing cores described herein and may be some version of the processor 1800.

The memory 1640 may be, for example, Dynamic Random Access Memory (DRAM), Phase Change Memory (PCM), or a combination of the two. For at least one embodiment, controller hub 1620 communicates with processor(s) 1610, 1615 via a multi-drop bus such as a front-side bus (FSB), a point-to-point interface such as a Quick Path Interconnect (QPI), or similar connection 1695.

In one embodiment, the coprocessor 1645 is a special-purpose processor, such as, for example, a high-throughput MIC processor, a network or communication processor, compression engine, graphics processor, GPGPU, embedded processor, or the like. In one embodiment, controller hub 1620 may comprise an integrated graphics accelerator.

There may be various differences between the physical resources 1610, 1615 in a range of quality metrics including architectural, microarchitectural, thermal, power consumption characteristics, and so forth.

In one embodiment, processor 1610 executes instructions that control general types of data processing operations. Embedded within these instructions may be coprocessor instructions. Processor 1610 recognizes these coprocessor instructions as being of a type that should be executed by the attached coprocessor 1645. Thus, the processor 1610 issues these coprocessor instructions (or control signals representing coprocessor instructions) on a coprocessor bus or other interconnect to coprocessor 1645. The coprocessor(s) 1645 accept and execute received coprocessor instructions.

Referring now to fig. 17, shown is a block diagram of a first more specific exemplary system 1700 in accordance with an embodiment of the present invention. As shown in fig. 17, multiprocessor system 1700 is a point-to-point interconnect system, and includes a first processor 1770 and a second processor 1780 coupled via a point-to-point interconnect 1750. Each of processors 1770 and 1780 may be some version of the processor 1500. In some embodiments, processors 1770 and 1780 are processors 1610 and 1615, respectively, and coprocessor 1738 is coprocessor 1645. In another embodiment, processors 1770 and 1780 are respectively processor 1610 and coprocessor 1645.

Processors 1770 and 1780 are shown including Integrated Memory Controller (IMC) units 1772 and 1782, respectively. Processor 1770 also includes as part of its bus controller unit point-to-point (P-P) interfaces 1776 and 1778; similarly, the second processor 1780 includes P-P interfaces 1786 and 1788. Processors 1770, 1780 may exchange information via a point-to-point (P-P) interface 1750 using P-P interface circuits 1778, 1788. As shown in fig. 17, IMCs 1772 and 1782 couple the processors to respective memories, namely a memory 1732 and a memory 1734, which may be portions of main memory locally attached to the respective processors.

Processors 1770, 1780 may each exchange information with a chipset 1790 via individual P-P interfaces 1752, 1754 using point to point interface circuits 1776, 1794, 1786, 1798. Chipset 1790 may optionally exchange information with the coprocessor 1738 via a high-performance interface 1739. In one embodiment, the coprocessor 1738 is a special-purpose processor, such as, for example, a high-throughput MIC processor, a network or communication processor, compression engine, graphics processor, GPGPU, embedded processor, or the like.

A shared cache (not shown) may be included in either processor, or external to both processors but connected with the processors via a P-P interconnect, such that if a processor is placed in a low power mode, local cache information for either or both processors may be stored in the shared cache.

Chipset 1790 may be coupled to a first bus 1716 via an interface 1796. In one embodiment, first bus 1716 may be a Peripheral Component Interconnect (PCI) bus or a bus such as a PCI express bus or another third generation I/O interconnect bus, although the scope of the present invention is not so limited.

As shown in fig. 17, various I/O devices 1714 may be coupled to first bus 1716, along with a bus bridge 1718, where bus bridge 1718 couples first bus 1716 to a second bus 1720. In one embodiment, one or more additional processors 1715, such as coprocessors, high-throughput MIC processors, GPGPU's, accelerators (such as, e.g., graphics accelerators or Digital Signal Processing (DSP) units), field programmable gate arrays, or any other processor, are coupled to first bus 1716. In one embodiment, second bus 1720 may be a Low Pin Count (LPC) bus. In one embodiment, various devices may be coupled to second bus 1720, including for example a keyboard and/or mouse 1722, communication devices 1727, and a storage unit 1728, such as a disk drive or other mass storage device, which may include instructions/code and data 1730. Further, an audio I/O1724 may be coupled to second bus 1720. Note that other architectures are possible. For example, instead of the point-to-point architecture of fig. 17, a system may implement a multi-drop bus or other such architecture.

Referring now to fig. 18, shown is a block diagram of a second more specific exemplary system 1800 in accordance with an embodiment of the present invention. Like elements in fig. 17 and 18 bear like reference numerals, and certain aspects of fig. 17 have been omitted from fig. 18 to avoid obscuring other aspects of fig. 18.

Fig. 18 illustrates that processors 1770, 1780 may include integrated memory and I/O control logic ("CL") 1772 and 1782, respectively. Thus, the CL 1772, 1782 include integrated memory controller units and include I/O control logic. Fig. 18 illustrates that not only are the memories 1732, 1734 coupled to the CL 1772, 1782, but also that the I/O devices 1814 are also coupled to the control logic 1772, 1782. Legacy I/O devices 1815 are coupled to the chipset 1790.

Referring now to FIG. 19, shown is a block diagram of a SoC 1900 in accordance with an embodiment of the present invention. Like elements in fig. 15 bear like reference numerals. In addition, the dashed box is an optional feature on more advanced socs. In fig. 19, interconnect cell(s) 1902 are coupled to: an application processor 1910 including a set of one or more cores 1502A-N (which includes cache molecule 1504A-N) and shared cache molecule(s) 1506; a system agent unit 1510; bus controller unit(s) 1516; integrated memory controller unit(s) 1514; a set of one or more coprocessors 1920 which may include integrated graphics logic, an image processor, an audio processor, and a video processor; a Static Random Access Memory (SRAM) cell 1930; a Direct Memory Access (DMA) unit 1932; and a display unit 1940 for coupling to one or more external displays. In one embodiment, coprocessor(s) 1920 include a special-purpose processor, such as, for example, a network or communication processor, compression engine, GPGPU, a high-throughput MIC processor, embedded processor, or the like.

Embodiments of the mechanisms disclosed herein may be implemented in hardware, software, firmware, or a combination of such implementations. Embodiments of the invention may be implemented as computer programs or program code executing on programmable systems comprising at least one processor, a storage system (including volatile and non-volatile memory and/or storage elements), at least one input device, and at least one output device.

Program code, such as code 1730 illustrated in fig. 17, may be applied to input instructions to perform the functions described herein and generate output information. The output information may be applied to one or more output devices in a known manner. For purposes of this application, a processing system includes any system having a processor, such as, for example, a Digital Signal Processor (DSP), a microcontroller, an Application Specific Integrated Circuit (ASIC), or a microprocessor.

The program code may be implemented in a high level procedural or object oriented programming language to communicate with a processing system. Program code can also be implemented in assembly or machine language, if desired. Indeed, the mechanisms described herein are not limited in scope to any particular programming language. In any case, the language may be a compiled or interpreted language.

One or more aspects of at least one embodiment may be implemented by representative instructions stored on a machine-readable medium which represent various logic in a processor, which when read by a machine causes the machine to fabricate logic to perform the techniques described herein. Such representations, known as "IP cores" may be stored on a tangible, machine-readable medium and supplied to various customers or manufacturing facilities to load into the fabrication machines that actually make the logic or processor.

Such machine-readable storage media may include, but are not limited to, non-transitory, tangible arrangements of articles of manufacture made or formed by machines or devices, including storage media such as hard disks; any other type of disk including floppy disks, optical disks, compact disk read-only memories (CD-ROMs), compact disk rewritables (CD-RWs), and magneto-optical disks; semiconductor devices such as Read Only Memory (ROM), Random Access Memory (RAM) such as Dynamic Random Access Memory (DRAM) and Static Random Access Memory (SRAM), Erasable Programmable Read Only Memory (EPROM), flash memory, Electrically Erasable Programmable Read Only Memory (EEPROM); phase Change Memory (PCM); magnetic or optical cards; or any other type of media suitable for storing electronic instructions.

Accordingly, embodiments of the present invention also include non-transitory, tangible machine-readable media containing instructions or containing design data, such as Hardware Description Language (HDL), which define the structures, circuits, devices, processors, and/or system features described herein. These embodiments are also referred to as program products.

Simulation (including binary conversion, code deformation, etc.)

In some cases, an instruction converter may be used to convert instructions from a source instruction set to a target instruction set. For example, the instruction converter may transform (e.g., using static binary transformations, dynamic binary transformations including dynamic compilation), morph, emulate, or otherwise convert the instruction into one or more other instructions to be processed by the core. The instruction converter may be implemented in software, hardware, firmware, or a combination thereof. The instruction converter may be on the processor, off-processor, or partially on and partially off-processor.

FIG. 20 is a block diagram that compares the use of a software instruction converter to convert binary instructions in a source instruction set to binary instructions in a target instruction set, according to some embodiments of the invention. In the illustrated embodiment, the instruction converter is a software instruction converter, but alternatively, the instruction converter may be implemented in software, firmware, hardware, or various combinations thereof. Fig. 20 illustrates that a program in the high-level language 2002 may be compiled using an x86 compiler 2004 to generate x86 binary code 2006 that is natively executable by a processor 2016 having at least one x86 instruction set core. Processor 2016 with at least one x86 instruction set core represents any processor that performs substantially the same functions as an intel processor with at least one x86 instruction set core by compatibly executing or otherwise processing: 1) a substantial portion of the instruction set of the intel x86 instruction set core, or 2) an object code version of an application or other software targeted to run on an intel processor having at least one x86 instruction set core to achieve substantially the same results as an intel processor having at least one x86 instruction set core. The x86 compiler 2004 represents a compiler operable to generate x86 binary code 2006 (e.g., object code) that may or may not be executed on a processor 2016 having at least one x86 instruction set core via an additional linking process. Similarly, fig. 20 illustrates that an alternative instruction set compiler 2008 can be used to compile a program in a high-level language 2002 to generate alternative instruction set binary code 2010 that can be natively executed by a processor 2014 that does not have at least one x86 instruction set core (e.g., a processor having a core that executes the MIPS instruction set of MIPS technologies, inc. of sony, california, and/or that executes the ARM instruction set of ARM holdings, inc. of sony, california). The instruction converter 2012 is used to convert the x86 binary code 2006 to code that can be natively executed by the processor 2014 without the x86 instruction set core. This converted code is unlikely to be identical to the alternative instruction set binary code 2010 because an instruction converter capable of doing so is difficult to manufacture; however, the translated code will complete the general operation and be made up of instructions from the alternate instruction set. Thus, the instruction converter 2012 represents software, firmware, hardware, or a combination thereof that allows a processor or other electronic device that does not have an x86 instruction set processor or core to execute the x86 binary code 2006 by emulation, simulation, or any other process.

Further examples

Example 1 provides an example processor to execute a Sparse Matrix Multiplication (SMM) instruction, the processor comprising: fetch circuitry to fetch SMM instructions having fields to specify locations of a first matrix, a second matrix, and an output matrix, the specified second matrix being a sparse matrix, the fetch circuitry further to fetch and store elements of the specified first and second matrices from memory into a register file; decode circuitry to decode the fetched SMM instructions; execution circuitry to compact the second matrix stored in the register file in response to the decoded SMM instruction by replacing one or more zero-value elements with yet-to-be-processed non-zero elements, each of the replaced elements further comprising a field to identify its logical position within the second matrix, and the execution circuitry to further: for each non-zero element at row M and column K of the specified first matrix, generating a product of the non-zero element with each respective non-zero element at row K and column N of the compacted second matrix, and accumulating each generated product with a previous value of the respective element at row M and column N of the specified output matrix.

Example 2 includes the substance of the example processor of example 1, wherein, for each row K of the specified second matrix, the execution circuitry is to determine whether the row contains any zero-valued elements, and if so, to determine whether the row contains any non-zero elements yet to be processed from the zero-valued elements, and if so, to collapse the non-zero elements into the zero-valued elements for each zero-valued element having non-zero elements yet to be processed.

Example 3 includes the substance of the example processor of example 1, wherein, for each column N of the specified second matrix, the execution circuitry is to determine whether the column contains any zero-valued elements, and if so, to determine whether any of the P elements of a subsequent column is a non-zero value, and to squeeze the non-zero elements into the zero-valued elements for each zero-valued element in the subsequent column that has a non-zero element.

Example 4 includes the substance of the example processor of example 1, wherein each of the elements stored in the register file includes a field to specify whether it has a zero value, and wherein the execution circuitry is to use the field in determining whether the element has a zero value.

Example 5 includes the material of an example processor as described in example 1, wherein the execution circuitry is to avoid generating any product of elements having zero values.

Example 6 includes the material of an example processor as in example 1, wherein the execution circuitry includes a processing array having (X Y) processing units, where X is less than M and Y is less than N, the execution circuitry to iteratively use the processing array to perform the same processing as an actual physical array having (M X N) processing units over a plurality of clock cycles.

Example 7 includes the material of an exemplary processor as described in example 1, wherein the execution circuitry includes a processing array having (X Y) processing units, where X is less than M and Y is less than N, the execution circuitry concatenating multiple instances of the processing array to perform the same processing as an actual physical array having (M X N) processing units.

Example 8 provides an example method for executing a Sparse Matrix Multiplication (SMM) instruction, the method comprising: fetching, using fetch circuitry, an SMM instruction having fields to specify a first matrix, a second matrix, and an output matrix, the specified second matrix being a sparse matrix, the fetch circuitry further to fetch and store elements of the specified first and second matrices from memory into a register file; decoding the fetched SMM instructions using decode circuitry; responding, by execution circuitry, to the decoded SMM instruction by compacting a specified second matrix stored in the register file by replacing one or more zero-value elements with yet-to-be-processed non-zero elements, each replaced element in the compacted second matrix comprising a field identifying its logical position within the second matrix; and further using the execution circuitry to: for each non-zero element at row M and column K of the specified first matrix, generating a product of the non-zero element with each respective non-zero element at row K and column N of the compacted second matrix, and accumulating each generated product with a previous value of the respective element at row M and column N of the specified output matrix.

Example 9 includes the material of the example method of example 8, wherein, for each row K of the specified second matrix, the execution circuitry is to determine whether the row contains any zero-valued elements, and if so, to determine whether the row contains any non-zero elements yet to be processed from the zero-valued elements, and if so, to collapse the non-zero elements into the zero-valued elements for each zero-valued element having non-zero elements yet to be processed.

Example 10 includes the substance of the example method of example 8, wherein, for each column N of the specified second matrix, the execution circuitry is to determine whether the column contains any zero-valued elements, and if so, to determine whether any of the P elements of a subsequent column is a non-zero value, and to squeeze the non-zero elements into the zero-valued elements for each zero-valued element in the subsequent column that has a non-zero element.

Example 11 includes the material of the example method of example 8, wherein each of the elements stored in the register file includes a field to specify whether it has a zero value, and wherein the execution circuitry is to use the field in determining whether the element has a zero value.

Example 12 includes the material of the example method of example 8, wherein the execution circuitry is to avoid generating any product of elements having zero values.

Example 13 includes the material of the example method of example 8, wherein the execution circuitry includes a processing array having (X × Y) processing units, where X is less than M and Y is less than N, the execution circuitry to iteratively use the processing array to perform the same processing as an actual physical array having (M × N) processing units over a plurality of clock cycles.

Example 14 includes the material of the example method of example 8, wherein the execution circuitry includes a processing array having (X × Y) processing units, where X is less than M and Y is less than N, the execution circuitry to cascade the multiple instances of the processing array to perform the same processing as an actual physical array having (M × N) processing units.

Example 15 provides an example system to perform a Sparse Matrix Multiply (SMM) instruction, the system comprising: a memory; fetch circuitry to fetch SMM instructions having fields to specify locations of a first matrix, a second matrix, and an output matrix, the specified second matrix being a sparse matrix, the fetch circuitry further to fetch and store elements of the specified first and second matrices from the memory into a register file; decode circuitry to decode the fetched SMM instructions; execution circuitry to compact the second matrix stored in the register file in response to the decoded SMM instruction by replacing one or more zero-value elements with yet-to-be-processed non-zero elements, each of the replaced elements further comprising a field to identify its logical position within the second matrix, and the execution circuitry to further: for each non-zero element at row M and column K of the specified first matrix, generating a product of the non-zero element with each respective non-zero element at row K and column N of the compacted second matrix, and accumulating each generated product with a previous value of the respective element at row M and column N of the specified output matrix.

Example 16 includes the substance of the example system of example 15, wherein, for each row K of the specified second matrix, the execution circuitry is to determine whether the row contains any zero-valued elements, and if so, to determine whether the row contains any non-zero elements yet to be processed from the zero-valued elements, and if so, to collapse the non-zero elements into the zero-valued elements for each zero-valued element having non-zero elements yet to be processed.

Example 17 includes the material of the example system as described in example 15, wherein, for each column N of the specified second matrix, the execution circuitry is to determine whether the column contains any zero-valued elements, and if so, to determine whether any of the P elements of a subsequent column is a non-zero value, and to squeeze the non-zero elements into the zero-valued elements for each zero-valued element in the subsequent column that has a non-zero element.

Example 18 includes the material of the example system as described in example 15, wherein each of the elements stored in the register file includes a field to specify whether it has a zero value, and wherein the execution circuitry is to use the field in determining whether the element has a zero value.

Example 19 includes the material of the example system of example 15, wherein the execution circuitry is to avoid generating any product of elements having zero values.

Example 20 includes the material of the example system of example 15, wherein the execution circuitry includes a processing array having (X × Y) processing units, where X is less than M and Y is less than N, the execution circuitry to iteratively use the processing array to perform the same processing as an actual physical array having (M × N) processing units over a plurality of clock cycles.

63页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:一种基于FPGA的卷积神经网络加速器

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!