Memory compressed hashing mechanism

文档序号:1098496 发布日期:2020-09-25 浏览:13次 中文

阅读说明:本技术 存储器压缩散列机制 (Memory compressed hashing mechanism ) 是由 A·R·阿普 A·考克 J·雷 N·库雷 P·萨蒂 S·卡玛 V·兰甘纳坦 于 2020-02-18 设计创作,主要内容包括:本申请公开了存储器压缩散列机制。公开了用于促进存储器数据压缩的装置。装置包括:存储器,具有多个区块,用于存储主数据和与主数据相关联的元数据;以及存储器管理单元(MMU),耦合至多个区块,用于执行散列函数来为主数据和元数据计算到存储器中的虚拟地址位置中的索引,并且调节元数据虚拟地址位置以将每个经调节的元数据虚拟地址位置存储在存储相关联的主数据的区块中。(The application discloses a memory compressed hash mechanism. An apparatus for facilitating memory data compression is disclosed. The device comprises: a memory having a plurality of blocks for storing main data and metadata associated with the main data; and a Memory Management Unit (MMU) coupled to the plurality of banks to perform a hash function to compute an index into virtual address locations in memory for the host data and the metadata, and to adjust the metadata virtual address locations to store each adjusted metadata virtual address location in a bank storing the associated host data.)

1. An apparatus for facilitating memory data compression, comprising:

a memory having a plurality of blocks for storing main data and metadata associated with the main data; and

a memory management unit MMU coupled to the plurality of banks for performing a hash function to compute indices into virtual address locations in memory for the host data and the metadata, and adjusting metadata virtual address locations to store each adjusted metadata virtual address location in a bank storing associated host data.

2. The apparatus of claim 1, wherein the MMU to adjust the address location of the metadata comprises: the metadata to be stored in the blocks are combined to generate a metadata block.

3. The apparatus of claim 2, wherein the MMU to adjust the address location of the metadata further comprises: one or more shift operations are performed.

4. The apparatus of claim 3, wherein the MMU comprises a plurality of MMUs, each coupled to one or more of the plurality of banks.

5. The apparatus of claim 4, wherein each of the plurality of MMUs comprises a hash table implemented to perform the hash function.

6. The apparatus of claim 5, wherein each of the plurality of MMUs further performs a linear mapping to map primary data addresses to metadata addresses.

7. The apparatus of claim 4, further comprising:

a first MMU coupled to a first tile for storing a first set of primary data and metadata for a first metadata tile associated with the first set of primary data; and

a second MMU coupled to a second tile for storing a second set of primary data and metadata for a second metadata tile associated with the second set of primary data.

8. A method for facilitating memory data compression, comprising:

performing a hash function to compute an index into a virtual address location in memory for primary data and metadata associated with the primary data;

adjusting the metadata virtual address location; and

storing the metadata at adjusted metadata virtual address locations, wherein each adjusted metadata virtual address location is located in a block that stores associated master data.

9. The method of claim 8, further comprising:

receiving a master data address; and

mapping the primary data address to a metadata address.

10. The method of claim 9, further comprising: adjusting the address location of the metadata includes performing one or more shift operations.

11. The method of claim 10, further comprising: the metadata to be stored in the blocks are combined to generate a metadata block.

12. The method of claim 8, further comprising:

storing a first set of main data and metadata of a first metadata block associated with the first set of main data at a first chunk; and

storing, at a second tile, a second set of main data and metadata of a second metadata block associated with the second set of main data.

13. A graphics processing unit, GPU, comprising:

a memory having a plurality of blocks for storing main data and metadata associated with the main data; and

a plurality of structural elements coupled to the plurality of banks, each structural element comprising a Memory Management Unit (MMU) coupled to one or more of the plurality of banks, the MMU to perform a hash function to calculate an index into a virtual address location in memory for the host data and the metadata, and adjust the metadata virtual address location to store each adjusted metadata virtual address location in the bank storing the associated host data.

14. The GPU of claim 13, wherein the MMU to adjust the address location of the metadata comprises: the metadata to be stored in the blocks are combined to generate a metadata block.

15. The GPU of claim 14, wherein the MMU to adjust the address location of the metadata further comprises: one or more shift operations are performed.

16. The GPU of claim 15, wherein the MMU comprises a hash table implemented to perform the hash function.

17. The GPU of claim 16, wherein the MMU further performs linear mapping to map primary data addresses to metadata addresses.

18. The GPU of claim 13, further comprising:

a first fabric element having a first MMU coupled to a first tile for storing a first set of primary data and metadata for a first metadata chunk associated with the first set of primary data; and

a second structural element having a second MMU coupled to a second tile for storing a second set of primary data and metadata for a second metadata tile associated with the second set of primary data.

19. The GPU of claim 18, further comprising:

a first set of one or more processing nodes coupled to the first structural element; and

a second set of one or more processing nodes coupled to the second structural element.

20. The GPU of claim 19, wherein the first structural element comprises a first control cache coupled between the first set of one or more processing nodes and the first MMU, the first control cache to perform data compression and decompression, and the second structural element comprises a second control cache coupled between the second set of one or more processing nodes and the second MMU, the second control cache to perform data compression and decompression.

Technical Field

The present invention relates generally to graphics processing, and more particularly to memory data compression.

Background

A Graphics Processing Unit (GPU) is a highly threaded machine in which hundreds of threads of a program are executed in parallel to achieve high throughput. The GPU thread groups are implemented in a mesh shading application to perform three-dimensional (3D) rendering. As more and more complex GPUs require a large amount of computation, maintaining memory bandwidth requirements is challenging. Therefore, bandwidth compression has become critical to ensure that the hardware/memory subsystem can support the required bandwidth.

Drawings

So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

FIG. 1 is a block diagram of a processing system according to an embodiment;

FIG. 2 is a block diagram of a processor according to an embodiment;

FIG. 3 is a block diagram of a graphics processor according to an embodiment;

FIG. 4 is a block diagram of a graphics processing engine of a graphics processor, according to some embodiments;

FIG. 5 is a block diagram of a graphics processor provided by an additional embodiment;

FIGS. 6A and 6B illustrate thread execution logic, including an array of processing elements employed in some embodiments;

FIG. 7 is a block diagram illustrating a graphics processor instruction format, according to some embodiments;

FIG. 8 is a block diagram of a graphics processor according to another embodiment;

FIGS. 9A and 9B illustrate a graphics processor command format and command sequence, according to some embodiments;

FIG. 10 illustrates an exemplary graphics software architecture for a data processing system, in accordance with some embodiments;

11A and 11B are block diagrams illustrating an IP core development system according to an embodiment;

FIG. 12 is a block diagram illustrating an exemplary system-on-chip integrated circuit, according to an embodiment;

FIGS. 13A and 13B are block diagrams illustrating additional exemplary graphics processors;

14A and 14B are block diagrams illustrating additional exemplary graphics processors of a system-on-chip integrated circuit according to embodiments;

FIG. 15 illustrates one embodiment of a computing device;

FIG. 16 illustrates one embodiment of a graphics processing unit;

FIG. 17 illustrates one embodiment of a memory space;

FIG. 18 illustrates one embodiment of a repackaged memory space;

FIG. 19 illustrates one embodiment of a memory management unit; and

FIG. 20 is a flow diagram illustrating one embodiment of a process for performing compressed hashing.

Detailed Description

In the following description, numerous specific details are set forth in order to provide a more thorough understanding of the present invention. It will be apparent, however, to one skilled in the art, that the present invention may be practiced without one or more of these specific details. In other instances, well-known features have not been described in order to avoid obscuring the present invention.

In an embodiment, the memory management unit performs a hash function to compute an index into physical address locations in memory for the master data and the metadata, and adjusts the metadata physical address locations to store each adjusted metadata physical address location in a block that stores the associated master data.

Fig. 1 is a block diagram of a processing system 100 according to an embodiment. In various embodiments, system 100 includes one or more processors 102 and one or more graphics processors 108, and may be a single-processor desktop system, a multi-processor workstation system, or a server system having a large number of processors 102 or processor cores 107. In one embodiment, system 100 is a processing platform incorporated within a system-on-chip (SoC) integrated circuit for use in a mobile device, handheld device, or embedded device.

In one embodiment, the system 100 may include or may be incorporated within: a server-based gaming platform, a gaming console including gaming and media consoles, a mobile gaming console, a handheld gaming console, or an online gaming console. In some embodiments, the system 100 is a mobile phone, a smart phone, a tablet computing device, or a mobile internet device. The processing system 100 may also include a wearable device, which may be coupled with or may be integrated within a wearable device, such as a smart watch wearable device, a smart eyewear device, an augmented reality device, or a virtual reality device. In some embodiments, processing system 100 is a television or set-top box device having one or more processors 102 and a graphical interface generated by one or more graphics processors 108.

In some embodiments, the one or more processors 102 each include one or more processor cores 107, the one or more processor cores 107 to process instructions that, when executed, perform operations for system and user software. In some embodiments, each of the one or more processor cores 107 is configured to process a particular instruction set 109. In some embodiments, the instruction set 109 may facilitate Complex Instruction Set Computing (CISC), Reduced Instruction Set Computing (RISC), or computing via Very Long Instruction Words (VLIW). The multiple processor cores 107 may each process a different instruction set 109, and the different instruction set 109 may include instructions for facilitating emulation of other instruction sets. Processor core 107 may also include other processing devices, such as a Digital Signal Processor (DSP).

In some embodiments, the processor 102 includes a cache memory 104. Depending on the architecture, the processor 102 may have a single internal cache or multiple levels of internal cache. In some embodiments, cache memory is shared among various components of the processor 102. In some embodiments, the processor 102 also uses an external cache (e.g., a level 3 (L3) cache or Last Level Cache (LLC)) (not shown) that may be shared among the processor cores 107 using known cache coherency techniques. Additionally included in the processor 102 is a register file 106, which register file 106 may include different types of registers (e.g., integer registers, floating point registers, status registers, and instruction pointer registers) for storing different types of data. Some registers may be general purpose registers, while other registers may be specific to the design of processor 102.

In some embodiments, one or more processors 102 are coupled with one or more interface buses 110 to transmit communication signals, such as address, data, or control signals, between the processors 102 and other components in the system 100. In one embodiment, interface bus 110 may be a processor bus, such as some version of a Direct Media Interface (DMI) bus. However, the processor bus is not limited to a DMI bus, and may include one or more Peripheral Component Interconnect (PCI) buses (e.g., PCI express), memory buses, or other types of interface buses. In one embodiment, processor(s) 102 include an integrated memory controller 116 and a platform controller hub 130. The memory controller 116 facilitates communication between the memory devices and other components of the system 100, while the Platform Controller Hub (PCH)130 provides a connection to I/O devices via a local I/O bus.

Memory device 120 may be a Dynamic Random Access Memory (DRAM) device, a Static Random Access Memory (SRAM) device, a flash memory device, a phase change memory device, or some other memory device with the appropriate capabilities to act as a process memory. In one embodiment, memory device 120 may operate as system memory for system 100 to store data 122 and instructions 121 for use when one or more processors 102 execute an application or process. The memory controller 116 is also coupled with an optional external graphics processor 112, which optional external graphics processor 112 may communicate with one or more graphics processors 108 in the processor 102 to perform graphics operations and media operations. In some embodiments, a display device 111 may be connected to the processor(s) 102. The display device 111 may be one or more of the following: internal display devices, such as in mobile electronic devices or laptop devices; or an external display device attached via a display interface (e.g., a displayport, etc.). In one embodiment, display device 111 may be a Head Mounted Display (HMD), such as a stereoscopic display device for use in Virtual Reality (VR) applications or Augmented Reality (AR) applications.

In some embodiments, platform controller hub 130 enables peripherals to connect to memory device 120 and processor 102 via a high speed I/O bus. I/O peripherals include, but are not limited to, an audio controller 146, a network controller 134, a firmware interface 128, a wireless transceiver 126, a touch sensor 125, a data storage device 124 (e.g., hard drive, flash memory, etc.). The data storage device 124 may be connected via a storage interface (e.g., SATA) or via a peripheral bus such as a peripheral component interconnect bus (e.g., PCI Express). The touch sensor 125 may include a touch screen sensor, a pressure sensor, or a fingerprint sensor. The wireless transceiver 126 may be a Wi-Fi transceiver, a bluetooth transceiver, or a mobile network transceiver, such as a 3G, 4G, or Long Term Evolution (LTE) transceiver. The firmware interface 128 enables communication with system firmware and may be, for example, a Unified Extensible Firmware Interface (UEFI). Network controller 134 may enable a network connection to a wired network. In some embodiments, a high performance network controller (not shown) is coupled to interface bus 110. In one embodiment, audio controller 146 is a multi-channel high definition audio controller. In one embodiment, the system 100 includes an optional legacy I/O controller 140 for coupling legacy (e.g., personal System 2(PS/2)) devices to the system. The platform controller hub 130 may also connect to one or more Universal Serial Bus (USB) controllers 142 to connect input devices, such as a keyboard and mouse 143 combination, a camera 144, or other USB input devices.

It will be appreciated that the system 100 shown is exemplary and not limiting, as other types of data processing systems configured in different ways may also be used. For example, the instances of memory controller 116 and platform controller hub 130 may be integrated into a separate external graphics processor, such as external graphics processor 112. In one embodiment, platform controller hub 130 and/or memory controller 116 may be external to one or more processors 102. For example, the system 100 may include an external memory controller 116 and a platform controller hub 130, which external memory controller 116 and platform controller hub 130 may be configured as a memory controller hub and a peripheral controller hub within a system chipset in communication with the processor(s) 102.

FIG. 2 is a block diagram of an embodiment of a processor 200, the processor 200 having one or more processor cores 202A-202N, an integrated memory controller 214, and an integrated graphics processor 208. Those elements of fig. 2 having the same reference numbers (or names) as the elements of any other figure herein can operate or function in any manner similar to that described elsewhere herein, but are not limited to such. Processor 200 may include additional cores up to additional core 202N represented by a dashed box and including additional core 202N represented by a dashed box. Each of the processor cores 202A-202N includes one or more internal cache units 204A-204N. In some embodiments, each processor core also has access to one or more shared cache units 206.

Internal cache units 204A-204N and shared cache unit 206 represent a cache memory hierarchy within processor 200. The cache memory hierarchy may include at least one level of instruction and data cache within each processor core and one or more levels of shared mid-level cache, such as level 2 (L2), level 3 (L3), level 4 (L4), or other levels of cache, where the highest level of cache before external memory is classified as an LLC. In some embodiments, cache coherency logic maintains coherency between the various cache molecules 206 and 204A-204N.

In some embodiments, processor 200 may also include a set of one or more bus controller units 216 and a system agent core 210. One or more bus controller units 216 manage a set of peripheral buses, such as one or more PCI buses or PCI Express buses. The system agent core 210 provides management functions for the processor components. In some embodiments, the system proxy core 210 includes one or more integrated memory controllers 214 for managing access to various external memory devices (not shown).

In some embodiments, one or more of the processor cores 202A-202N includes support for simultaneous multithreading. In such embodiments, system proxy core 210 includes components for coordinating and operating cores 202A-202N during multi-threaded processing. The system proxy core 210 may additionally include a Power Control Unit (PCU) that includes logic and components for regulating the power states of the processor cores 202A-202N and the graphics processor 208.

In some embodiments, the processor 200 additionally includes a graphics processor 208 for performing graphics processing operations. In some embodiments, the graphics processor 208 is coupled to a set of shared cache units 206 and a system proxy core 210, the system proxy core 210 including one or more integrated memory controllers 214. In some embodiments, the system proxy core 210 also includes a display controller 211 for driving graphics processor output to one or more coupled displays. In some embodiments, the display controller 211 may also be a separate module coupled with the graphics processor via at least one interconnect, or may be integrated within the graphics processor 208.

In some embodiments, ring-based interconnect unit 212 is used to couple internal components of processor 200. However, alternative interconnection elements may be used, such as point-to-point interconnections, switched interconnections, or other techniques, including those known in the art. In some embodiments, the graphics processor 208 is coupled with the ring interconnect 212 via an I/O link 213.

Exemplary I/O link 213 represents at least one of a plurality of various I/O interconnects, including an on-package I/O interconnect that facilitates communication between various processor components and a high-performance embedded memory module 218, such as an eDRAM module. In some embodiments, each of the processor cores 202A-202N and the graphics processor 208 use the embedded memory module 218 as a shared last level cache.

In some embodiments, processor cores 202A-202N are homogeneous cores that execute the same instruction set architecture. In another embodiment, processor cores 202A-202N are heterogeneous in Instruction Set Architecture (ISA), in which one or more of processor cores 202A-202N execute a first instruction set and at least one of the other cores executes a subset of the first instruction set or a different instruction set. In one embodiment, processor cores 202A-202N are heterogeneous in micro-architecture, wherein one or more cores having relatively higher power consumption are coupled with one or more power cores having lower power consumption. Further, processor 200 may be implemented on one or more chips, or as an SoC integrated circuit having the illustrated components, among other components.

Fig. 3 is a block diagram of a graphics processor 300, which graphics processor 300 may be a discrete graphics processing unit or may be a graphics processor integrated with multiple processing cores. In some embodiments, the graphics processor communicates via a memory mapped I/O interface to registers on the graphics processor and with commands placed into processor memory. In some embodiments, graphics processor 300 includes a memory interface 314 for accessing memory. Memory interface 314 may be an interface to local memory, one or more internal caches, one or more shared external caches, and/or to system memory.

In some embodiments, graphics processor 300 also includes a display controller 302, the display controller 302 to drive display output data to a display device 320. The display controller 302 includes hardware for one or more overlay planes of the display and composition of multiple layers of video or user interface elements. The display device 320 may be an internal or external display device. In one embodiment, display device 320 is a head mounted display device, such as a Virtual Reality (VR) display device or an Augmented Reality (AR) display device. In some embodiments, graphics processor 300 includes a video codec engine 306 for encoding media into, decoding media from, or transcoding media between one or more media encoding formats, including but not limited to: moving Picture Experts Group (MPEG) formats such as MPEG-2, Advanced Video Coding (AVC) formats such as h.264/MPEG-4AVC, and Society of Motion Picture and Television Engineers (SMPTE)421M/VC-1, and Joint Photographic Experts Group (JPEG) formats such as JPEG, and motion JPEG (mjpeg) formats.

In some embodiments, graphics processor 300 includes a block image transfer (BLIT) engine 304 to perform two-dimensional (2D) rasterizer operations, including, for example, bit boundary block transfers. However, in one embodiment, 2D graphics operations are performed using one or more components of a Graphics Processing Engine (GPE) 310. In some embodiments, GPE310 is a compute engine for performing graphics operations, including three-dimensional (3D) graphics operations and media operations.

In some embodiments, GPE310 includes a 3D pipeline 312 for performing 3D operations, such as rendering three-dimensional images and scenes using processing functions that act on 3D primitive shapes (e.g., rectangles, triangles, etc.). 3D pipeline 312 includes programmable and fixed function elements that perform various tasks within elements and/or generated execution threads to 3D/media subsystem 315. Although the 3D pipeline 312 may be used to perform media operations, embodiments of the GPE310 also include a media pipeline 316, the media pipeline 316 being dedicated to performing media operations, such as video post-processing and image enhancement.

In some embodiments, media pipeline 316 includes fixed-function or programmable logic units to perform one or more specialized media operations, such as video decoding acceleration, video de-interlacing, and video encoding acceleration, in place of, or on behalf of, video codec engine 306. In some embodiments, media pipeline 316 additionally includes a thread generation unit to generate threads for execution on 3D/media subsystem 315. The generated threads perform computations of media operations on one or more graphics execution units included in 3D/media subsystem 315.

In some embodiments, 3D/media subsystem 315 includes logic for executing threads generated by 3D pipeline 312 and media pipeline 316. In one embodiment, the pipeline sends thread execution requests to the 3D/media subsystem 315, the 3D/media subsystem 315 including thread dispatch logic for arbitrating and dispatching various requests for available thread execution resources. The execution resources include an array of graphics execution units for processing 3D threads and media threads. In some embodiments, 3D/media subsystem 315 includes one or more internal caches for thread instructions and data. In some embodiments, the subsystem further includes a shared memory, including registers and addressable memory, for sharing data between threads and for storing output data.

Graphics processing engine

FIG. 4 is a block diagram of a graphics processing engine 410 of a graphics processor, according to some embodiments. In one embodiment, Graphics Processing Engine (GPE)410 is some version of GPE310 shown in FIG. 3. Those elements of fig. 4 having the same reference numbers (or names) as the elements of any other figure herein can operate or function in any manner similar to that described elsewhere herein, but are not limited to such. For example, the 3D pipeline 312 and the media pipeline 316 of fig. 3 are illustrated. The media pipeline 316 is optional in some embodiments of the GPE410 and may not be explicitly included within the GPE 410. For example and in at least one embodiment, a separate media and/or image processor is coupled to GPE 410.

In some embodiments, GPE410 is coupled with command streamer 403 or includes command streamer 403, which command streamer 403 provides a command stream to 3D pipeline 312 and/or media pipeline 316. In some embodiments, command streamer 403 is coupled with a memory, which may be one or more of a system memory, or an internal cache memory and a shared cache memory. In some embodiments, command streamer 403 receives commands from memory and sends the commands to 3D pipeline 312 and/or media pipeline 316. These commands are indications of fetching from a ring buffer that stores commands for the 3D pipeline 312 and the media pipeline 316. In one embodiment, the ring buffer may additionally include a batch command buffer to store a batch of the plurality of commands. The commands for 3D pipeline 312 may also include references to data stored in memory, such as, but not limited to, vertex data and geometry data for 3D pipeline 312 and/or image data and memory objects for media pipeline 316. The 3D pipeline 312 and the media pipeline 316 process commands and data by performing operations via logic within the respective pipelines or by dispatching one or more execution threads to the graphics core array 414. In one embodiment, graphics core array 414 includes blocks of one or more graphics cores (e.g., graphics core(s) 415A, graphics core(s) 415B), each block including one or more graphics cores. Each graphics core includes a set of graphics execution resources, the set of graphics execution resources including: general purpose execution logic and graphics-specific execution logic for performing graphics operations and computing operations; and fixed function texture processing logic and/or machine learning and artificial intelligence acceleration logic.

In embodiments, 3D pipeline 312 includes fixed function logic and programmable logic to process one or more shader programs, such as a vertex shader, a geometry shader, a pixel shader, a fragment shader, a compute shader, or other shader programs, by processing instructions and dispatching execution threads to graphics core array 414. Graphics kernel array 414 provides a unified execution resource block for use in processing these shader programs. Multi-function execution logic (e.g., execution units) within graphics core(s) 415A-415B of graphics core array 414 includes support for various 3D API shader languages and may execute multiple simultaneous execution threads associated with multiple shaders.

In some embodiments, graphics core array 414 also includes execution logic to perform media functions such as video and/or image processing. In one embodiment, the execution unit additionally includes general purpose logic that is programmable to perform parallel general purpose computing operations in addition to graphics processing operations. The general purpose logic may perform processing operations in parallel or in conjunction with the general purpose logic within the processor core(s) 107 of FIG. 1 or cores 202A-202N in FIG. 2.

Output data generated by threads executing on graphics core array 414 may output the data to memory in Unified Return Buffer (URB) 418. The URB418 may store data for multiple threads. In some embodiments, the URB418 may be used to send data between different threads executing on the graphics core array 414. In some embodiments, the URB418 may additionally be used for synchronization between threads on the graphics core array and fixed function logic within the shared function logic 420.

In some embodiments, the graphics core array 414 is scalable such that the array includes a variable number of graphics cores, each having a variable number of execution units based on the target power and performance level of the GPE 410. In one embodiment, the execution resources are dynamically scalable so that the execution resources can be enabled or disabled as needed.

Graphics core array 414 is coupled to shared function logic 420, which shared function logic 420 includes a plurality of resources that are shared among the graphics cores in the graphics core array. The shared functions within shared function logic 420 are hardware logic units that provide specialized, complementary functions to graphics core array 414. In various embodiments, shared function logic 420 includes, but is not limited to, sampler 421 logic, math 422 logic, and inter-thread communication (ITC)423 logic. Additionally, some embodiments implement one or more caches 425 within shared function logic 420.

Shared functionality is implemented where the need for a given specialized functionality is insufficient to be included in graphics core array 414. Rather, a single instantiation of that specialized function is implemented as a separate entity in shared function logic 420 and is shared between execution resources within graphics core array 414. The exact set of functions shared among graphics core array 414 and included within graphics core array 414 varies from embodiment to embodiment. In some embodiments, a particular shared function within shared function logic 420 that is widely used by graphics core array 414 may be included within shared function logic 416 within graphics core array 414. In various embodiments, shared function logic 416 within graphics core array 414 may include some or all of the logic within shared function logic 420. In one embodiment, all logic elements within shared function logic 420 may be replicated within shared function logic 416 of graphics core array 414. In one embodiment, shared function logic 420 is eliminated in favor of shared function logic 416 within graphics core array 414.

Figure 5 is a block diagram of hardware logic of graphics processor core 500 according to some embodiments described herein. Those elements of fig. 5 having the same reference numbers (or names) as the elements of any other figure herein can operate or function in any manner similar to that described elsewhere herein, but are not limited to such. In some embodiments, the illustrated graphics processor core 500 is included within the graphics core array 414 of fig. 4. Graphics processor core 500 (sometimes referred to as a core slice) may be one or more graphics cores within a modular graphics processor. An example of graphics processor core 500 is one graphics core slice, and a graphics processor as described herein may include multiple graphics core slices based on a target power envelope and a performance envelope. Each graphics core 500 may include a fixed function block 530, the fixed function block 530 coupled with a plurality of sub-cores 501A-501F (also referred to as sub-slices), the plurality of sub-cores 501A-501F comprising blocks of modular, general purpose and fixed function logic.

In some embodiments, the fixed function block 530 includes a geometry/fixed function pipeline 536, the geometry/fixed function pipeline 536 may be shared by all of the sub-cores in the graphics processor 500, for example, in lower performance and/or lower power graphics processor implementations. In various embodiments, geometry/fixed function pipeline 536 includes a 3D fixed function pipeline (e.g., 3D pipeline 312 as in fig. 3 and 4), a video front end unit, a thread generator and thread dispatcher, and a unified return buffer manager that manages a unified return buffer, such as unified return buffer 418 of fig. 4.

In one embodiment, fixed function block 530 also includes a graphics SoC interface 537, a graphics microcontroller 538, and a media pipeline 539. Graphics SoC interface 537 provides an interface between graphics core 500 and other processor cores within the system-on-chip integrated circuit. The graphics microcontroller 538 is a programmable sub-processor that may be configured to manage various functions of the graphics processor 500, including thread dispatch, scheduling, and preemption. Media pipeline 539 (e.g., media pipeline 316 of fig. 3 and 4) includes logic to facilitate decoding, encoding, pre-processing, and/or post-processing of multimedia data including image data and video data. The media pipeline 539 implements media operations via requests to compute or sample logic within the sub-cores 501A-501F.

In one embodiment, SoC interface 537 enables graphics core 500 to communicate with a general-purpose application processor core (e.g., CPU) and/or other components within the SoC, including memory hierarchy elements such as a shared last level cache memory, system RAM, and/or embedded on-chip or on-package DRAM. SoC interface 537 may also enable communication with fixed-function devices within the SoC, such as camera imaging pipelines, and enable the use of and/or implement global memory atomicity that may be shared between graphics core 500 and CPUs within the SoC. SoC interface 537 may also implement power management control for graphics core 500 and enable interfaces between the clock domain of graphics core 500 and other clock domains within the SoC. In one embodiment, SoC interface 537 enables receipt of command buffers from command streamer and global thread dispatcher configured to provide commands and instructions to each of one or more graphics cores within a graphics processor. These commands and instructions may be dispatched to the media pipeline 539 when media operations are to be performed, or to the geometry and fixed function pipelines (e.g., the geometry and fixed function pipeline 536, the geometry and fixed function pipeline 514) when graphics processing operations are to be performed.

Graphics microcontroller 538 may be configured to perform various scheduling and management tasks for graphics core 500. In one embodiment, the graphics microcontroller 538 may perform graphics and/or compute workload scheduling for various graphics parallel engines within the Execution Unit (EU) arrays 502A-502F, 504A-504F within the sub-cores 501A-501F. In this scheduling model, host software executing on a CPU core of an SoC including graphics core 500 may submit a workload via one of a plurality of graphics processor doorbell (doorbell), which invokes a scheduling operation on the appropriate graphics engine. The scheduling operation includes: determining which workload to run next, submitting the workload to a command streamer, preempting an existing workload running on an engine, monitoring the progress of the workload, and notifying the host software when the workload is complete. In one embodiment, graphics microcontroller 538 may also facilitate a low power or idle state of graphics core 500, providing graphics core 500 with the ability to save and restore registers within graphics core 500 across low power state transitions independent of the operating system and/or graphics driver software on the system.

Graphics core 500 may have more or less than the illustrated sub-cores 501A-501F, up to N modular sub-cores. Graphics core 500 may also include, for each set of N sub-cores, shared function logic 510, shared and/or cache memory 512, geometry/fixed function pipelines 514, and additional fixed function logic 516 to accelerate various graphics and computing processing operations. Shared function logic 510 may include logic units associated with shared function logic 420 of fig. 4 (e.g., sampler logic, math logic, and/or inter-thread communication logic) that may be shared by every N sub-cores within graphics core 500. Shared and/or cache memory 512 may be a last level cache for a set of N sub-cores 501A-501F within graphics core 500, and may also act as a shared memory accessible by multiple sub-cores. A geometry/fixed function pipeline 514 may be included within the fixed function block 530 instead of the geometry/fixed function pipeline 536, and the geometry/fixed function pipeline 514 may include the same or similar logic units.

In one embodiment, graphics core 500 includes additional fixed function logic 516, and this additional fixed function logic 516 may include various fixed function acceleration logic for use by graphics core 500. In one embodiment, the additional fixed function logic 516 includes additional geometry pipelines for use in location-only shading. In position-only shading, there are two geometric pipelines: a full geometry pipeline within geometry/ fixed function pipelines 516, 536; and a culling pipeline, which is an additional geometry pipeline that may be included within the additional fixed function logic 516. In one embodiment, the culling pipeline is a reduced version of the full geometry pipeline. The full pipeline and the culling pipeline may execute different instances of the same application, each instance having a separate context. Location-only shading may hide long culling runs of discarded triangles, enabling shading to be completed earlier in some instances. For example and in one embodiment, the culling pipeline logic within the additional fixed function logic 516 may execute the position shader in parallel with the host application and typically generates critical results faster than a full pipeline because the culling pipeline only fetches and colors the position attributes of the vertices, and does not perform rasterization and rendering of pixels to the frame buffer. The culling pipeline may use the generated key results to calculate visibility information for all triangles without regard to whether those triangles were culled. The full pipeline, which in this example may be referred to as a replay (replay) pipeline, may consume this visibility information to skip culled triangles, rendering only visible triangles that are eventually passed to the rasterization stage.

In one embodiment, the additional fixed function logic 516 may also include machine learning acceleration logic, such as fixed function matrix multiplication logic, for implementations including training or inference for machine learning.

Within each graphics sub-core 501A-501F is included a set of execution resources that are available to perform graphics operations, media operations, and compute operations in response to requests made by a graphics pipeline, media pipeline, or shader program. Graphics sub-cores 501A-501F include: a plurality of EU arrays 502A-502F, 504A-504F; thread dispatch and inter-thread communication (TD/IC) logic 503A-503F; 3D (e.g., texture) samplers 505A-505F; media samplers 506A-506F; shader processors 507A-507F; and Shared Local Memories (SLMs) 508A-508F. The EU arrays 502A-502F, 504A-504F each include a plurality of execution units, which are general purpose graphics processing units capable of performing floating point and integer/fixed point logic operations to service graphics operations, media operations, or compute operations, including graphics programs, media programs, or compute shader programs. The TD/IC logic 503A-503F performs local thread dispatch and thread control operations for execution units within the sub-cores and facilitates communication between threads executing on the execution units of the sub-cores. The 3D samplers 505A-505F may read texture or other 3D graphics related data into memory. The 3D sampler may read texture data in different ways based on the configured sample states and texture formats associated with a given texture. Media samplers 506A-506F may perform similar read operations based on the type and format associated with the media data. In one embodiment, each graphics sub-core 501A-501F may alternately include unified 3D and media samplers. Threads executing on execution units within each of the sub-cores 501A-501F may utilize shared local memory 508A-508F within each sub-core to enable threads executing within thread groups to execute using a common pool of on-chip memory.

Execution unit

6A-6B illustrate thread execution logic 600 according to embodiments described herein, the thread execution logic 600 comprising an array of processing elements employed in a graphics processor core. Those elements of fig. 6A-6B having the same reference numbers (or names) as the elements of any other figure herein can operate or function in any manner similar to that described elsewhere herein, but are not limited to such. Fig. 6A illustrates an overview of thread execution logic 600, which thread execution logic 600 may include variations of the hardware logic illustrated for each of the sub-cores 501A-501F of fig. 5. FIG. 6B illustrates exemplary internal details of an execution unit.

As illustrated in fig. 6A, in some embodiments, thread execution logic 600 includes shader processor 602, thread dispatcher 604, instruction cache 606, scalable execution unit array including a plurality of execution units 608A-608N, sampler 610, data cache 612, and data port 614. In one embodiment, the scalable array of execution units may be dynamically scaled by enabling or disabling one or more execution units (e.g., execution units 608A, 608B, 608C, 608D, up to any of 608N-1 and 608N) based on the computational requirements of the workload. In one embodiment, the included components are interconnected via an interconnect fabric that links to each of the components. In some embodiments, the thread execution logic 600 includes one or more connections to memory (such as system memory or cache memory) through the instruction cache 606, the data port 614, the sampler 610, and one or more of the execution units 608A-608N. In some embodiments, each execution unit (e.g., 608A) is a standalone programmable general purpose computing unit capable of executing multiple simultaneous hardware threads while processing multiple data elements for each thread in parallel. In various embodiments, the array of execution units 608A-608N is scalable to include any number of individual execution units.

In some embodiments, the execution units 608A-608N are primarily used to execute shader programs. Shader processor 602 can process various shader programs and can dispatch threads of execution associated with the shader programs via thread dispatcher 604. In one embodiment, the thread dispatcher includes logic to arbitrate thread initiation requests from the graphics pipeline and the media pipeline and to instantiate the requested thread on one or more of the execution units 608A-608N. For example, a geometry pipeline may dispatch a vertex shader, a tessellation shader, or a geometry shader to the in-situ execution logic for processing. In some embodiments, the thread dispatcher 604 may also process runtime thread generation requests from executing shader programs.

In some embodiments, execution units 608A-608N support an instruction set that includes native support for many standard 3D graphics shader instructions, such that shader programs from graphics libraries (e.g., Direct3D and OpenGL) are executed with minimal translation. These execution units support vertex and geometry processing (e.g., vertex programs, geometry programs, vertex shaders), pixel processing (e.g., pixel shaders, fragment shaders), and general purpose processing (e.g., compute and media shaders). Each of the execution units 608A-608N is capable of multiple issue Single Instruction Multiple Data (SIMD) execution, and multi-threading enables an efficient execution environment in the face of higher latency memory accesses. Each hardware thread within each execution unit has a dedicated high bandwidth register file and associated independent thread state. Execution is multi-issue per clock for pipelines capable of integer, single, and double precision floating point operations, SIMD branch capable, logical, override, and other miscellaneous operations. While waiting for data from one of the memory or shared functions, dependency logic within the execution units 608A-608N sleeps the waiting thread until the requested data has been returned. While the waiting thread is sleeping, the hardware resources may be devoted to processing other threads. For example, during a delay associated with vertex shader operations, the execution unit may perform operations directed to a pixel shader, a fragment shader, or another type of shader program that includes a different vertex shader.

Each of the execution units 608A-608N operates on an array of data elements. The number of data elements is the "execution size", or number of lanes for the instruction. An execution channel is a logical unit for execution of data element access, masking, and flow control within an instruction. The number of channels may be independent of the number of physical Arithmetic Logic Units (ALUs) or Floating Point Units (FPUs) for a particular graphics processor. In some embodiments, execution units 608A-608N support both integer and floating point data types.

The execution unit instruction set includes SIMD instructions. Various data elements may be stored as packed data types in registers, and the execution unit will process the various elements based on the data size of the elements. For example, when operating on a 256-bit wide vector, 256 bits of the vector are stored in a register, and the execution unit operates on the vector as four separate 64-bit packed data elements (four-word (QW) sized data elements), eight separate 32-bit packed data elements (double-word (DW) sized data elements), sixteen separate 16-bit packed data elements (word (W) sized data elements), or thirty-two separate 8-bit data elements (byte (B) sized data elements). However, different vector widths and register sizes are possible.

In one embodiment, one or more execution units may be combined into a fused execution unit 609A-609N, the fused execution unit 609A-609N having thread control logic (607A-607N) common to the fused EU. Multiple EUs can be fused into an EU group. Each EU in the fused EU set may be configured to execute a separate SIMD hardware thread. The number of EUs in the fused EU set may vary depending on the embodiment. Additionally, various SIMD widths may be performed EU-by-EU, including but not limited to SIMD8, SIMD16, and SIMD 32. Each fused graphics execution unit 609A-609N includes at least two execution units. For example, the fused execution unit 609A includes a first EU 608A, a second EU 608B, and thread control logic 607A common to the first EU 608A and the second EU 608B. The thread control logic 607A controls the threads executing on the fused graphics execution unit 609A, allowing each EU within the fused execution units 609A-609N to execute using a common instruction pointer register.

One or more internal instruction caches (e.g., 606) are included in the thread execution logic 600 to cache thread instructions for the execution units. In some embodiments, one or more data caches (e.g., 612) are included to cache thread data during thread execution. In some embodiments, sampler 610 is included to provide texture samples for 3D operations and media samples for media operations. In some embodiments, sampler 610 includes specialized texture or media sampling functionality to process texture data or media data during the sampling process prior to providing the sampled data to the execution units.

During execution, the graphics pipeline and the media pipeline send thread initiation requests to the thread execution logic 600 via the thread generation and dispatch logic. Once a set of geometric objects has been processed and rasterized into pixel data, pixel processor logic (e.g., pixel shader logic, fragment shader logic, etc.) within shader processor 602 is invoked to further compute output information and cause the results to be written to an output surface (e.g., a color buffer, a depth buffer, a stencil (stencil) buffer, etc.). In some embodiments, the pixel shader or fragment shader computes values for each vertex attribute that will be interpolated across the rasterized object. In some embodiments, pixel processor logic within shader processor 602 then executes an Application Programming Interface (API) supplied pixel shader program or fragment shader program. To execute shader programs, shader processor 602 dispatches threads to execution units (e.g., 608A) via thread dispatcher 604. In some embodiments, shader processor 602 uses texture sampling logic in sampler 610 to access texture data in a texture map stored in memory. Arithmetic operations on the texture data and the input geometry data calculate pixel color data for each geometric segment, or discard one or more pixels without further processing.

In some embodiments, data port 614 provides a memory access mechanism for thread execution logic 600 to output processed data to memory for further processing on a graphics processor output pipeline. In some embodiments, data port 614 includes or is coupled to one or more cache memories (e.g., data cache 612) to cache data for memory access via the data port.

As illustrated in FIG. 6B, the graphics execution unit 608 may include an instruction fetch unit 637, a general register file array (GRF)624, an architectural register file Array (ARF)626, a thread arbiter 622, a send unit 630, a branch unit 632, a set of SIMD Floating Point Units (FPUs) 634, and in one embodiment, a set of dedicated integer SIMD ALUs 635. The GRF624 and ARF 626 include a set of general purpose register files and architectural register files associated with each synchronous hardware thread that may be active in the graphics execution unit 608. In one embodiment, per-thread architecture state is maintained in the ARF 626, while data used during thread execution is stored in the GRF 624. The execution state of each thread, including the instruction pointer for each thread, may be maintained in thread-specific registers in the ARF 626.

In one embodiment, the graphics execution unit 608 has an architecture that is a combination of Simultaneous Multithreading (SMT) and fine-grained Interleaved Multithreading (IMT). The architecture has a modular configuration that can be fine-tuned at design time based on a target number of synchronous threads and a number of registers per execution unit, where execution unit resources are partitioned across logic for executing multiple synchronous threads.

In one embodiment, the graphics execution unit 608 may cooperatively issue multiple instructions, which may each be different instructions. The thread arbiter 622 of the GPU thread 608 can dispatch instructions to one of the following for execution: a transmit unit 630, a branch unit 632, or SIMD FPU(s) 634. Each execution thread may access 128 general purpose registers within the GRF624, where each register may store 32 bytes accessible as a SIMD 8-element vector with 32-bit data elements. In one embodiment, each execution unit thread has access to 4 kilobytes within the GRF624, although embodiments are not so limited and in other embodiments more or less register resources may be provided. In one embodiment, up to seven threads may execute synchronously, but the number of threads per execution unit may also vary depending on the embodiment. In an embodiment where seven threads may access 4 kilobytes, the GRF624 may store a total of 28 kilobytes. The flexible addressing mode may permit multiple registers to be addressed together, creating a substantially wider register or representing a strided rectangular block data structure.

In one embodiment, memory operations, sampler operations, and other longer latency system communications are dispatched via a "send" instruction executed by messaging transmit unit 630. In one embodiment, branch instructions are dispatched to a dedicated branch unit 632 to facilitate SIMD divergence and eventual convergence.

In one embodiment, graphics execution unit 608 includes one or more SIMD Floating Point Units (FPUs) 634 for performing floating point operations. In one embodiment, the FPU(s) 634 also support integer computations. In one embodiment, FPU(s) 634 may perform up to a number M of 32-bit floating point (or integer) operations on SIMD's, or up to 2M of 16-bit integer or 16-bit floating point operations on SIMD's. In one embodiment, at least one of the FPU(s) provides extended mathematical capabilities that support high throughput transcendental mathematical functions and double precision 64-bit floating points. In some embodiments, a set 635 of 8-bit integer SIMD ALUs also exist and may be specifically optimized to perform operations associated with machine learning computations.

In one embodiment, an array of multiple instances of the graphics execution unit 608 may be instantiated in a graphics sub-core grouping (e.g., a sub-slice). For scalability, the product architect may select the exact number of execution units per sub-core group. In one embodiment, the execution unit 608 may execute instructions across multiple execution lanes. In a further embodiment, each thread executing on the graphics execution unit 608 is executed on a different channel.

Fig. 7 is a block diagram illustrating a graphics processor instruction format 700, according to some embodiments. In one or more embodiments, a graphics processor execution unit supports an instruction set with instructions in multiple formats. The solid line blocks illustrate components that are typically included in an execution unit instruction, while the dashed lines include components that are optional or included only in a subset of instructions. In some embodiments, the instruction format 700 described and illustrated is a macro instruction because they are instructions supplied to the execution units, as opposed to micro instructions resulting from instruction decoding once the instruction is processed.

In some embodiments, the graphics processor execution unit natively supports instructions of 128-bit instruction format 710. Based on the selected instruction, instruction options, and operand number, a 64-bit compact instruction format 730 may be used for some instructions. The native 128-bit instruction format 710 provides access to all instruction options, while some options and operations are restricted in the 64-bit format 730. The native instructions available in the 64-bit format 730 vary from embodiment to embodiment. In some embodiments, the instructions are partially compressed using a set of index values in the index field 713. The execution unit hardware references a set of compression tables based on the index values and uses the compression table outputs to reconstruct the native instructions of the 128-bit instruction format 710.

For each format, instruction opcode 712 defines the operation to be performed by the execution unit. The execution unit executes each instruction in parallel across multiple data elements of each operand. For example, in response to an add instruction, the execution unit performs a synchronous add operation across each color channel representing a texel or a picture element. By default, the execution unit executes each instruction across all data lanes of operands. In some embodiments, instruction control field 714 enables control of certain execution options, such as channel selection (e.g., predicates) and data channel order (e.g., blending). For instructions of the 128-bit instruction format 710, the execution size field 716 limits the number of data lanes to be executed in parallel. In some embodiments, the execution size field 716 is not available in the 64-bit compact instruction format 730.

Some execution unit instructions have up to three operands, including two source operands, src0720, src 1722, and one destination operand 718. In some embodiments, the execution unit supports dual destination instructions, where one of the dual destinations is implicit. The data manipulation instruction may have a third source operand (e.g., SRC 2724), where the instruction opcode 712 determines the number of source operands. The last source operand of an instruction may be an immediate (e.g., hard-coded) value passed with the instruction.

In some embodiments, 128-bit instruction format 710 includes an access/addressing mode field 726, the access/addressing mode field 726 specifying, for example, whether direct register addressing mode or indirect register addressing mode is used. When the direct register addressing mode is used, the register address of one or more operands is provided directly by bits in the instruction.

In some embodiments, 128-bit instruction format 710 includes an access/addressing mode field 726, the access/addressing mode field 726 specifying an addressing mode and/or an access mode of the instruction. In one embodiment, an access pattern is used to define data access alignment for an instruction. Some embodiments support access patterns that include 16-byte aligned access patterns and 1-byte aligned access patterns, where the byte alignment of the access patterns determines the access alignment of instruction operands. For example, when in the first mode, the instruction may use byte-aligned addressing for the source operand and the destination operand, and when in the second mode, the instruction may use 16 byte-aligned addressing for all of the source operand and the destination operand.

In one embodiment, the addressing mode portion of access/addressing mode field 726 determines whether the instruction is to use direct addressing or indirect addressing. When using the direct register addressing mode, bits in the instruction directly provide the register address of one or more operands. When using the indirect register addressing mode, register addresses for one or more operands may be calculated based on address register values and address immediate fields in the instruction.

In some embodiments, instructions are grouped based on opcode 712 bit fields to simplify opcode decoding 740. For an 8-bit opcode, bits 4, 5, and 6 allow the execution unit to determine the type of opcode. The exact opcode groupings shown are examples only. In some embodiments, the move and logic operation group 742 comprises data move and logic instructions (e.g., move (mov), compare (cmp)). In some embodiments, move and logic group 742 shares the five Most Significant Bits (MSBs), with a move (mov) instruction in the form of 0000 xxxxxxb and a logic instruction in the form 0001 xxxxb. Flow control instruction set 744 (e.g., call (call), jump (jmp)) includes instructions in the form of 0010 xxxxxxb (e.g., 0x 20). Miscellaneous instruction set 746 includes a mix of instructions, including synchronous instructions (e.g., wait, send) in the form of 0011 xxxxxxb (e.g., 0x 30). The set of parallel mathematical instructions 748 includes a per-component arithmetic instruction (e.g., add, multiply (mul)) in the form 0100 xxxxxxb (e.g., 0x 40). The parallel math group 748 performs arithmetic operations in parallel across the data channels. Vector math group 750 includes arithmetic instructions (e.g., dp4) in the form 0101xxxxb (e.g., 0x 50). The vector math group performs arithmetic, such as dot product calculations, on vector operands.

Graphics pipeline

Fig. 8 is a block diagram of another embodiment of a graphics processor 800. Those elements of fig. 8 having the same reference numbers (or names) as the elements of any other figure herein can operate or function in any manner similar to that described elsewhere herein, but are not limited to such.

In some embodiments, graphics processor 800 includes a graphics pipeline 820, a media pipeline 830, a display engine 840, thread execution logic 850, and a render output pipeline 870. In some embodiments, graphics processor 800 is a graphics processor within a multi-core processing system that includes one or more general purpose processing cores. The graphics processor is controlled by register writes to one or more control registers (not shown), or via commands issued to the graphics processor 800 over the ring interconnect 802. In some embodiments, ring interconnect 802 couples graphics processor 800 to other processing components, such as other graphics processors or general purpose processors. Commands from the ring interconnect 802 are interpreted by a command streamer 803, which supplies instructions to the various components of the geometry pipeline 820 or the media pipeline 830.

In some embodiments, the command streamer 803 directs the operation of a vertex fetcher 805, which vertex fetcher 805 reads vertex data from memory and executes vertex processing commands provided by the command streamer 803. In some embodiments, vertex fetcher 805 provides vertex data to vertex shader 807, which vertex shader 807 performs coordinate space transformations and lighting operations on each vertex. In some embodiments, vertex fetcher 805 and vertex shader 807 execute vertex processing instructions by dispatching execution threads to execution units 852A-852B via thread dispatcher 831.

In some embodiments, execution units 852A-852B are an array of vector processors having sets of instructions for performing graphics operations and media operations. In some embodiments, execution units 852A-852B have an attached L1 cache 851 dedicated to each array or shared between arrays. The cache may be configured as a data cache, an instruction cache, or partitioned into a single cache containing data and instructions in different partitions.

In some embodiments, geometry pipeline 820 includes a tessellation component for performing hardware accelerated tessellation of 3D objects. In some embodiments, the programmable hull shader 811 configures tessellation operations. The programmable domain shader 817 provides back-end evaluation of the tessellation output. The tessellator 813 operates under the direction of the hull shader 811 and includes dedicated logic for generating a detailed set of geometric objects based on a coarse geometric model that is provided as input to the geometry pipeline 820. In some embodiments, if tessellation is not used, tessellation components (e.g., hull shader 811, tessellator 813, and domain shader 817) may be bypassed.

In some embodiments, a complete geometric object may be processed by the geometry shader 819 via one or more threads dispatched to the execution units 852A-852B, or may travel directly to the clipper 829. In some embodiments, the geometry shader operates on the entire geometry object rather than on vertices or patches of vertices as in previous stages of the graphics pipeline. If tessellation is disabled, geometry shader 819 receives input from vertex shader 807. In some embodiments, the geometry shaders 819 are programmable by a geometry shader program to perform geometry tessellation with the tessellation unit disabled.

Prior to rasterization, the crop 829 processes the vertex data. The crop 829 may be a fixed-function crop or a programmable crop with crop and geometry shader functions. In some embodiments, the rasterizer and depth test component 873 in the render output pipeline 870 dispatches pixel shaders to convert the geometric objects into a pixel-by-pixel representation. In some embodiments, pixel shader logic is included in thread execution logic 850. In some embodiments, the application may bypass the rasterizer and depth test component 873 and access the non-rasterized vertex data via the stream out unit 823.

Graphics processor 800 has an interconnect bus, interconnect fabric, or some other interconnect mechanism that allows data and messages to pass among the main components of the processor. In some embodiments, the execution units 852A-852B and associated logic units (e.g., L1 cache 851, sampler 854, texture cache 858, etc.) are interconnected via data ports 856 to perform memory accesses and communicate with the rendering output pipeline components of the processor. In some embodiments, sampler 854, caches 851, 858 and execution units 852A-852B each have separate memory access paths. In one embodiment, texture cache 858 may also be configured as a sampler cache.

In some embodiments, the render output pipeline 870 includes a rasterizer and depth test component 873 that converts vertex-based objects into associated pixel-based representations. In some embodiments, the rasterizer logic includes a windower/masker unit to perform fixed function triangulation and wire rasterization. An associated render cache 878 and depth cache 879 may also be available in some embodiments. The pixel operations component 877 performs pixel-based operations on the data, but in some instances, the pixel operations associated with the 2D operations (e.g., with blended bit-block image transfers) are performed by the 2D engine 841 or replaced by the display controller 843 when displayed using an overlaid display plane. In some embodiments, a shared L3 cache 875 is available to all graphics components, allowing data to be shared without using main system memory.

In some embodiments, graphics processor media pipeline 830 includes a media engine 837 and a video front end 834. In some embodiments, video front end 834 receives pipeline commands from command streamer 803. In some embodiments, media pipeline 830 includes a separate command streamer. In some embodiments, the video front end 834 processes the media command before sending the command to the media engine 837. In some embodiments, media engine 837 includes thread generation functionality to generate threads for dispatch to thread execution logic 850 via thread dispatcher 831.

In some embodiments, graphics processor 800 includes a display engine 840. In some embodiments, the display engine 840 is external to the processor 800 and is coupled with the graphics processor via the ring interconnect 802, or some other interconnect bus or fabric. In some embodiments, display engine 840 includes a 2D engine 841 and a display controller 843. In some embodiments, the display engine 840 contains dedicated logic capable of operating independently of the 3D pipeline. In some embodiments, the display controller 843 is coupled with a display device (not shown), which may be a system integrated display device (as in a laptop computer) or an external display device attached via a display device connector.

In some embodiments, geometry pipeline 820 and media pipeline 830 may be configured to perform operations based on multiple graphics and media programming interfaces and are not specific to any one Application Programming Interface (API). In some embodiments, driver software of the graphics processor translates API calls specific to a particular graphics or media library into commands that can be processed by the graphics processor. In some embodiments, support is provided for an open graphics library (OpenGL), open computing language (OpenCL), and/or Vulkan graphics and computing APIs, all from the Khronos Group. In some embodiments, support may also be provided for the Direct3D library from microsoft corporation. In some embodiments, a combination of these libraries may be supported. Support may also be provided for an open source computer vision library (OpenCV). Future APIs with compatible 3D pipelines will also be supported if a mapping from the pipeline of the future API to the pipeline of the graphics processor can be made.

Graphics pipeline programming

FIG. 9A is a block diagram illustrating a graphics processor command format 900 according to some embodiments. FIG. 9B is a block diagram illustrating a graphics processor command sequence 910, according to an embodiment. The solid line block diagram in FIG. 9A illustrates components that are typically included in graphics commands, while the dashed line includes components that are optional or included only in a subset of graphics commands. The exemplary graphics processor command format 900 of FIG. 9A includes data fields for identifying a client 902 of a command, a command operation code (opcode) 904, and data 906. Subopcode 905 and command size 908 are also included in some commands.

In some embodiments, the client 902 specifies a client unit of the graphics device that processes command data. In some embodiments, the graphics processor command parser examines the client field of each command to coordinate further processing of the command and to route the command data to the appropriate client unit. In some embodiments, a graphics processor client unit includes a memory interface unit, a rendering unit, a 2D unit, a 3D unit, and a media unit. Each client unit has a corresponding processing pipeline that processes commands. Upon receipt of the command by the client unit, the client unit reads the operation code 904 and, if present, the sub-operation code 905 to determine the operation to be performed. The client unit uses the information in data field 906 to execute the command. For some commands, an explicit command size 908 is expected to specify the size of the command. In some embodiments, the command parser automatically determines the size of at least some of the commands based on the command opcode. In some embodiments, the commands are aligned via multiples of a doubleword.

The flowchart in FIG. 9B illustrates an exemplary graphics processor command sequence 910. In some embodiments, software or firmware of a data processing system featuring an embodiment of a graphics processor uses some version of the illustrated command sequence to create, execute, and terminate a set of graphics operations. Sample command sequences are shown and described for exemplary purposes only, as embodiments are not limited to these particular commands or this sequence of commands. Moreover, the commands may be issued in a command sequence as a batch of commands such that the graphics processor will process the command sequence in an at least partially simultaneous manner.

In some embodiments, graphics processor command sequence 910 may begin with a pipeline flush command 912 to cause any active graphics pipelines to complete the pipeline's currently pending commands. In some embodiments, 3D pipeline 922 and media pipeline 924 do not operate concurrently. A pipeline flush is performed to cause the active graphics pipeline to complete any pending commands. In response to a pipeline flush, a command parser for a graphics processor will halt command processing until the active drawing engine completes pending operations and the associated read cache is invalidated. Optionally, any data in the render cache marked as "dirty" may be flushed to memory. In some embodiments, pipeline flush command 912 may be used for pipeline synchronization or before placing the graphics processor into a low power state.

In some embodiments, the pipeline select command 913 is used when the command sequence requires the graphics processor to explicitly switch between pipelines. In some embodiments, the pipeline select command 913 is only needed once in the execution context before issuing the pipeline command, unless the context is to issue commands for both pipelines. In some embodiments, the pipeline flush command 912 is required immediately prior to a pipeline switch via the pipeline select command 913.

In some embodiments, pipeline control commands 914 configure a graphics pipeline for operation and are used to program 3D pipeline 922 and media pipeline 924. In some embodiments, the pipeline control commands 914 configure the pipeline state of the active pipeline. In one embodiment, the pipeline control command 914 is used for pipeline synchronization and to clear data from one or more cache memories within the active pipeline prior to processing the batch of commands.

In some embodiments, the return buffer status command 916 is used to configure a set of return buffers for a respective pipeline to write data. Some pipelining requires allocating, selecting, or configuring one or more return buffers into which an operation writes intermediate data during processing. In some embodiments, the graphics processor also uses one or more return buffers to store output data and perform cross-thread communications. In some embodiments, return buffer status 916 includes the size and number of return buffers selected to be used for the set of pipelined operations.

The remaining commands in the command sequence differ based on the active pipeline for the operation. Based on the pipeline decision 920, the command sequence is customized for either the 3D pipeline 922, which starts in the 3D pipeline state 930, or the media pipeline 924, which starts at the media pipeline state 940.

Commands for configuring the 3D pipeline state 930 include 3D state set commands for vertex buffer state, vertex element state, constant color state, depth buffer state, and other state variables to be configured before processing the 3D primitive commands. The values of these commands are determined based at least in part on the particular 3D API in use. In some embodiments, the 3D pipeline state 930 commands can also selectively disable or bypass certain pipeline elements if those elements are not to be used.

In some embodiments, the 3D primitive 932 command is used to submit a 3D primitive to be processed by the 3D pipeline. Commands and associated parameters passed to the graphics processor via the 3D primitive 932 commands are forwarded to a vertex fetch function in the graphics pipeline. The vertex fetch function uses the 3D primitive 932 command data to generate a plurality of vertex data structures. The vertex data structure is stored in one or more return buffers. In some embodiments, the 3D primitive 932 command is for performing a vertex operation on the 3D primitive via a vertex shader. To process the vertex shader, 3D pipeline 922 dispatches shader execution threads to the graphics processor execution unit.

In some embodiments, the 3D pipeline 922 is triggered via an execute 934 command or event. In some embodiments, the register write triggers the command execution. In some embodiments, execution is triggered via a "go (go)" or "kick (kick)" command in a command sequence. In one embodiment, a pipeline synchronization command is used to trigger command execution to flush a sequence of commands through a graphics pipeline. The 3D pipeline will perform geometric processing for the 3D primitives. Once the operation is complete, the resulting geometric object is rasterized and the pixel engine renders the resulting pixels. For those operations, additional commands for controlling pixel shading and pixel back-end operations may also be included.

In some embodiments, graphics processor command sequence 910 follows the media pipeline 924 path when performing media operations. In general, the particular use and manner of programming for media pipeline 924 depends on the media or computing operations to be performed. During media decoding, certain media decoding operations may be transferred to the media pipeline. In some embodiments, the media pipeline may also be bypassed and media decoding may be performed in whole or in part using resources provided by one or more general purpose processing cores. In one embodiment, the media pipeline further includes elements for General Purpose Graphics Processor Unit (GPGPU) operations, wherein the graphics processor is to perform SIMD vector operations using compute shader programs that are not explicitly related to the rendering of the graphics primitives.

In some embodiments, media pipeline 924 is configured in a similar manner as 3D pipeline 922. The set of commands for configuring the media pipeline state 940 is dispatched or placed into a command queue, prior to the media object command 942. In some embodiments, the commands 940 for media pipeline state include data for configuring media pipeline elements that will be used to process the media object. This includes data, such as encoding or decoding formats, used to configure video decoding and video encoding logic within the media pipeline. In some embodiments, the command 940 for media pipeline state also supports the use of one or more pointers to "indirect" state elements containing state settings for the batch.

In some embodiments, media object commands 942 supply pointers to media objects for processing by the media pipeline. The media object includes a memory buffer containing video data to be processed. In some embodiments, all of the media pipeline state must be valid before issuing the media object command 942. Once the pipeline state is configured and the media object commands 942 are queued, the media pipeline 924 is triggered via an execute command 944 or equivalent execute event (e.g., a register write). The output from media pipeline 924 may then be post-processed by operations provided by 3D pipeline 922 or media pipeline 924. In some embodiments, GPGPU operations are configured and performed in a similar manner as media operations.

Graphics software architecture

FIG. 10 illustrates an exemplary graphics software architecture for data processing system 1000 in accordance with some embodiments. In some embodiments, the software architecture includes a 3D graphics application 1010, an operating system 1020, and at least one processor 1030. In some embodiments, processor 1030 includes a graphics processor 1032 and one or more general purpose processor cores 1034. Graphics application 1010 and operating system 1020 each execute in system memory 1050 of the data processing system.

In some embodiments, 3D graphics application 1010 includes one or more shader programs, including shader instructions 1012. The shader language instructions can be in a high level shader language, such as High Level Shader Language (HLSL) or OpenGL shader language (GLSL). The application also includes executable instructions 1014 in a machine language suitable for execution by the general purpose processor core 1034. The application also includes a graphical object 1016 defined by the vertex data.

In some embodiments, operating system 1020 is from Microsoft corporation An operating system, a proprietary UNIX-like operating system, or an open source UNIX-like operating system that uses a variation of the Linux kernel. The operating system 1020 may support a graphics API 1022, such as the Direct3D API, the OpenGL API, or the Vulkan API. When Direct3DAPI is in use, operating system 1020 uses a front-end shader compiler 1024 to compile any shader instructions 1012 that employ HLSL into a lower-level shader language. The compilation may be a just-in-time (JIT) compilation or an application executable shader precompilation. In some embodiments, during compilation of the 3D graphics application 1010, high-level shaders are compiled into low-level shaders. In some embodiments, the shader instructions 1012 are provided in an intermediate form, such as some version of the Standard Portable Intermediate Representation (SPIR) used by the Vulkan API.

In some embodiments, user mode graphics driver 1026 includes a back-end shader compiler 1027, the back-end shader compiler 1027 to convert shader instructions 1012 into a hardware-specific representation. When the OpenGL API is in use, shader instructions 1012 in the GLSL high-level language are passed to user-mode graphics driver 1026 for compilation. In some embodiments, the user mode graphics driver 1026 uses operating system kernel mode functions 1028 to communicate with the kernel mode graphics driver 1029. In some embodiments, the kernel mode graphics driver 1029 communicates with the graphics processor 1032 to dispatch commands and instructions.

IP core implementation

One or more aspects of at least one embodiment may be implemented by representative code stored on a machine-readable medium that represents and/or defines logic within an integrated circuit (such as a processor). For example, a machine-readable medium may include instructions representing various logic within a processor. When read by a machine, the instructions may cause the machine to fabricate logic to perform the techniques described herein. Such representations (referred to as "IP cores") are reusable units of logic for an integrated circuit that may be stored on a tangible, machine-readable medium as a hardware model that describes the structure of the integrated circuit. The hardware model may be supplied to various customers or manufacturing facilities that load the hardware model on the manufacturing machines that manufacture the integrated circuits. The integrated circuit may be fabricated such that the circuit performs the operations described in association with any of the embodiments described herein.

Fig. 11A is a block diagram illustrating an IP core development system 1100, which IP core development system 1100 may be used to fabricate integrated circuits to perform operations, according to an embodiment. The IP core development system 1100 may be used to generate a modular, reusable design that may be incorporated into a larger design or used to build an entire integrated circuit (e.g., an SOC integrated circuit). Design facility 1130 may generate software simulation 1110 of an IP core design in a high-level programming language (e.g., C/C + +). Software simulation 1110 may be used to design, test, and verify the behavior of an IP core using simulation model 1112. Simulation model 1112 may include functional simulations, behavioral simulations, and/or timing simulations. A Register Transfer Level (RTL) design 1115 may then be created or synthesized from simulation model 1112. RTL design 1115 is an abstraction of the behavior of an integrated circuit (including associated logic that executes using modeled digital signals) that models the flow of digital signals between hardware registers. In addition to RTL design 1115, lower level designs at the logic level or transistor level may be created, designed, or synthesized. Thus, the specific details of the initial design and simulation may vary.

The RTL design 1115 or equivalent may be further synthesized by the design facility into a hardware model 1120, which hardware model 1120 may employ a Hardware Description Language (HDL) or some other representation of physical design data. The HDL may be further simulated or tested to verify the IP core design. Non-volatile memory 1140 (e.g., a hard disk, flash memory, or any non-volatile storage medium) may be used to store the IP core design for delivery to third party manufacturing facility 1165. Alternatively, the IP core design may be transported over a wired connection 1150 or a wireless connection 1160 (e.g., via the Internet). Fabrication facility 1165 may then fabricate an integrated circuit based at least in part on the IP core design. The integrated circuit being fabricated may be configured to perform operations in accordance with at least one embodiment described herein.

Figure 11B illustrates a cross-sectional side view of an integrated circuit package assembly 1170, according to some embodiments described herein. The integrated circuit package assembly 1170 illustrates an implementation of one or more processor or accelerator devices as described herein. The package assembly 1170 includes a plurality of hardware logic units 1172, 1174 connected to a substrate 1180. The logic 1172, 1174 may be implemented at least partially in configurable logic or fixed function logic hardware, and may include one or more portions of any of the processor core(s), graphics processor(s) or other accelerator device described herein. Each logic unit 1172, 1174 may be implemented within a semiconductor die and coupled with a substrate 1180 via an interconnect 1173. Interconnect structure 1173 may be configured to route electrical signals between logic 1172, 1174 and substrate 1180, and may include interconnects such as, but not limited to, bumps or posts. In some embodiments, the interconnect fabric 1173 may be configured to route electrical signals, such as, for example, input/output (I/O) signals and/or power or ground signals associated with the operation of the logic 1172, 1174. In some embodiments, the substrate 1180 is an epoxy-based laminate substrate. In other embodiments, package assembly 1170 may include other suitable types of substrates. The package assembly 1170 may be connected to other electrical devices via a package interconnect 1183. Package interconnect 1183 may be coupled to a surface of substrate 1180 to route electrical signals to other electrical devices, such as a motherboard, other chipset, or a multi-chip module.

In some embodiments, the logic units 1172, 1174 are electrically coupled with a bridge 1182, the bridge 1182 configured to route electrical signals between the logic 1172 and the logic 1174. Bridge 1182 may be a dense interconnect structure that provides routing for electrical signals. The bridge 1182 may include a bridge substrate composed of glass or a suitable semiconductor material. Circuitry may be formed on the bridge substrate to provide chip-to-chip connections between logic 1172 and logic 1174.

Although two logic units 1172, 1174 and a bridge 1182 are illustrated, embodiments described herein may include more or fewer logic units on one or more dies. These one or more dies may be connected by zero or more bridges, as the bridge 1182 may be excluded when logic is included on a single die. Alternatively, multiple dies or logic units may be connected by one or more bridges. Additionally, in other possible configurations (including three-dimensional configurations), multiple logic units, dies, and bridges may be connected together.

Exemplary System-on-chip Integrated Circuit

Fig. 12-14 illustrate an example integrated circuit and associated graphics processor that may be fabricated using one or more IP cores according to various embodiments described herein. Other logic and circuitry may be included in addition to those illustrated, including additional graphics processor/cores, peripheral interface controllers, or general purpose processor cores.

Fig. 12 is a block diagram illustrating an example system-on-chip integrated circuit 1200 that may be fabricated using one or more IP cores, according to an embodiment. The exemplary integrated circuit 1200 includes one or more application processors 1205 (e.g., CPUs), at least one graphics processor 1210, and may additionally include an image processor 1215 and/or a video processor 1220, any of which may be modular IP cores from the same design facility or multiple different design facilities. Integrated circuit 1200 includes peripheral or bus logic, including USB controller 1225, UART controller 1230, SPI/SDIO controller 1235, and I2S/I2C controller 1240. Additionally, the integrated circuit may include a display device 1245, the display device 1245 coupled to one or more of a High Definition Multimedia Interface (HDMI) controller 1250 and a Mobile Industry Processor Interface (MIPI) display interface 1255. Storage may be provided by flash subsystem 1260 (including flash memory and a flash controller). A memory interface may be provided via the memory controller 1265 to gain access to SDRAM or SRAM memory devices. Some integrated circuits additionally include an embedded security engine 1270.

Fig. 13A-13B are block diagrams illustrating an exemplary graphics processor for use within a SoC, according to embodiments described herein. Fig. 13A illustrates an exemplary graphics processor 1310 of a system-on-chip integrated circuit that may be fabricated using one or more IP cores, according to an embodiment. FIG. 13B illustrates an additional exemplary graphics processor 1340 of a system-on-chip integrated circuit that may be fabricated using one or more IP cores, according to an embodiment. Graphics processor 1310 of FIG. 13A is an example of a low power graphics processor core. Graphics processor 1340 of fig. 13B is an example of a higher performance graphics processor core. Each of the graphics processors 1310, 1340 may be a variation of the graphics processor 1210 of fig. 12.

As shown in FIG. 13A, graphics processor 1310 includes a vertex processor 1305 and one or more fragment processors 1315A-1315N (e.g., 1315A, 1315B, 1315C, 1315D, up to 1315N-1 and 1315N). Graphics processor 1310 may execute different shader programs via separate logic, such that vertex processor 1305 is optimized to perform operations for vertex shader programs, while one or more fragment processors 1315A-1315N perform fragment (e.g., pixel) shading operations for fragment or pixel shader programs. Vertex processor 1305 executes the vertex processing stages of the 3D graphics pipeline and generates primitive data and vertex data. The fragment processor(s) 1315A-1315N use the primitive data and vertex data generated by the vertex processor 1305 to produce a frame buffer that is displayed on a display device. In one embodiment, fragment processor(s) 1315A-1315N are optimized to execute fragment shader programs as provided in the OpenGL API, which may be used to perform similar operations as pixel shader programs as provided in the Direct3D API.

Graphics processor 1310 additionally includes one or more Memory Management Units (MMUs) 1320A-1320B, cache(s) 1325A-1325B, and circuit interconnect(s) 1330A-1330B. The one or more MMUs 1320A-1320B provide virtual-to-physical address mappings for the graphics processor 1310 (including for the vertex processor 1305 and/or the fragment processor(s) 1315A-1315N), which may reference vertex data or image/texture data stored in memory in addition to vertex data or image/texture data stored in one or more caches 1325A-1325B. In one embodiment, one or more MMUs 1320A-1320B may be synchronized with other MMUs within the system, including one or more MMUs associated with one or more application processors 1205, image processors 1215, and/or video processors 1220 of FIG. 12, such that each processor 1205-1220 may participate in a shared or unified virtual memory system. According to an embodiment, one or more circuit interconnects 1330A-1330B enable graphics processor 1310 to interface with other IP cores within the SoC via the SoC's internal bus or via a direct connection.

As shown in FIG. 13B, graphics processor 1340 includes one or more of MMUs 1320A-1320B, caches 1325A-1325B, and circuit interconnects 1330A-1330B of graphics processor 1310 of FIG. 13A. Graphics processor 1340 includes one or more shader cores 1355A-1355N (e.g., 1355A, 1355B, 1355C, 1355D, 1355E, 1355F, through 1355N-1 and 1355N) that provide a unified shader core architecture in which a single core or type or core can execute all types of programmable shader code, including shader program code for implementing vertex shaders, fragment shaders, and/or compute shaders. The exact number of shader cores present may vary by embodiment and implementation. In addition, the graphics processor 1340 includes an inter-core task manager 1345, which inter-core task manager 1345 acts as a thread dispatcher for dispatching execution threads to one or more shader cores 1355A-1355N and a tile unit 1358 for accelerating tile operations on tile-based rendering in which rendering operations for a scene are subdivided in image space, e.g., to take advantage of local spatial coherence within the scene or to optimize internal cache usage.

14A-14B illustrate additional exemplary graphics processor logic, according to embodiments described herein. FIG. 14A illustrates a graphics core 1400, which graphics core 1400 may be included within graphics processor 1210 of FIG. 12, and may be a unified shader core 1355A-1355N as in FIG. 13B. FIG. 14B illustrates a highly parallel general purpose graphics processing unit 1430 suitable for deployment on a multi-chip module.

As shown in fig. 14A, graphics core 1400 includes a shared instruction cache 1402, texture unit 1418, and cache/shared memory 1420 that are common to execution resources within graphics core 1400. Graphics core 1400 may include multiple slices 1401A-1401N or partitions for each core, and a graphics processor may include multiple instances of graphics core 1400. The slices 1401A-1401N may include support logic that includes a local instruction cache 1404A-1404N, a thread scheduler 1406A-1406N, a thread dispatcher 1408A-1408N, and a set of registers 1410A-1410N. To perform logical operations, slices 1401A-1401N may include an additional set of functional units (AFUs 1412A-1412N), floating point units (FPUs 1414A-1414N), integer arithmetic logic units (ALUs 1416-1416N), address calculation units (ACUs 1413A-1413N), double precision floating point units (DPFPUs 1415A-1415N), and matrix processing units (MPUs 1417A-1417N).

Some of these calculation units operate with a certain accuracy. For example, FPUs 1414A-1414N may perform single-precision (32-bit) and half-precision (16-bit) floating point operations, while DPFPUs 1415A-1415N perform double-precision (64-bit) floating point operations. The ALUs 1416A-1416N can perform variable precision integer operations with 8-bit precision, 16-bit precision, and 32-bit precision, and can be configured for mixed precision operations. The MPUs 1417A-1417N may also be configured for mixed precision matrix operations, including half precision floating point operations and 8-bit integer operations. The MPUs 1417A-1417N may perform a wide variety of matrix operations to accelerate the machine learning application framework, including enabling support for accelerated generalized matrix-to-matrix multiplications (GEMMs). AFUs 1412A-1412N can perform additional logical operations not supported by floating point units or integer units, including trigonometric function operations (e.g., sine, cosine, etc.).

As shown in fig. 14B, a general purpose processing unit (GPGPU)1430 may be configured to enable highly parallel computing operations to be performed by an array of graphics processing units. Additionally, the GPGPU1430 may be directly linked to other instances of gpgpgpus to create multi-GPU clusters, thereby improving training speed, especially for deep neural networks. The GPGPU1430 includes a host interface 1432 for enabling connection to a host processor. In one embodiment, host interface 1432 is a PCI Express interface. However, the host interface may also be a vendor-specific communication interface or communication structure. The GPGPU1430 receives commands from host processors and distributes the execution threads associated with those commands to a set of compute clusters 1436A-1436H using a global scheduler 1434. Compute clusters 1436A-1436H share cache memory 1438. The cache memory 1438 may act as a higher level cache for cache memory within the compute clusters 1436A-1436H.

The GPGPU1430 includes memories 1434A-1434B coupled with compute clusters 1436A-1436H via a set of memory controllers 1442A-1442B. In various embodiments, memories 1434A-1434B may comprise various types of memory devices, including Dynamic Random Access Memory (DRAM) or graphics random access memory, such as Synchronous Graphics Random Access Memory (SGRAM), including Graphics Double Data Rate (GDDR) memory.

In one embodiment, compute clusters 1436A-1436H each include a set of graphics cores, such as graphics core 1400 of fig. 14A, which may include multiple types of integer and floating point logic units that may perform compute operations including those suitable for machine learning computations within a range of precision. For example and in one embodiment, at least a subset of the floating point units in each of the compute clusters 1436A-1436H may be configured to perform 16-bit or 32-bit floating point operations, while a different subset of the floating point units may be configured to perform 64-bit floating point operations.

Multiple instances of the GPGPU1430 may be configured to operate as a compute cluster. The communication mechanisms used by the compute clusters for synchronization and data exchange vary across embodiments. In one embodiment, multiple instances of the GPGPU1430 communicate through a host interface 1432. In one embodiment, GPGPU1430 includes an I/O hub 1439, the I/O hub 1439 couples the GPGPU1430 with a GPU link 1440, the GPU link 1440 enabling direct connections to other instances of the GPGPU. In one embodiment, GPU link 1440 is coupled to a dedicated GPU-GPU bridge that enables communication and synchronization between multiple instances of GPGPU 1430. In one embodiment, GPU link 1440 is coupled with a high speed interconnect to transmit and receive data to other GPGPUs or parallel processors. In one embodiment, multiple instances of the GPGPU1430 are located in separate data processing systems and communicate via a network device that is accessible via the host interface 1432. In one embodiment, GPU link 1440 may be configured to enable a connection to a host processor in addition to or instead of host interface 1432.

While the illustrated configuration of the GPGPU1430 may be configured to train a neural network, one embodiment provides an alternative configuration of the GPGPU1430 that may be configured for deployment within a high performance or low power inference platform. In an inferred configuration, the GPGPU1430 includes fewer of the compute clusters 1436A-1436H relative to a training configuration. Additionally, the memory technology associated with memories 1434A-1434B may differ between the inferred configuration and the training configuration, with higher bandwidth memory technologies dedicated to the training configuration. In one embodiment, the inferred configuration of the GPGPU1430 may support inference-specific instructions. For example, the inference configuration may provide support for one or more 8-bit integer dot-product instructions that are typically used during inference operations for deployed neural networks.

FIG. 15 illustrates one embodiment of a computing device 1500. Computing device 1500 (e.g., a smart wearable device, a Virtual Reality (VR) device, a Head Mounted Display (HMD), a mobile computer, an internet of things (IoT) device, a laptop computer, a desktop computer, a server computer, etc.) may be the same as processing system 100 of fig. 1, and accordingly, many of the details set forth above with reference to fig. 1-14 are not discussed or repeated further herein for the sake of brevity, clarity, and ease of understanding.

Computing device 1500 may include any number and type of communication devices, such as a mainframe computing system, such as a server computer, desktop computer, etc., and may further include a set-top box (e.g., an internet-based cable set-top box, etc.), a Global Positioning System (GPS) based device, etc. Computing device 1500 may include a mobile computing device that functions as a communication device, such as a cellular phone including a smartphone, a Personal Digital Assistant (PDA), a tablet computer, a laptop computer, an e-reader, a smart television, a television platform, a wearable device (e.g., glasses, a watch, a bracelet, a smart card, jewelry, clothing, etc.), a media player, and so forth. For example, in one embodiment, computing device 1500 may comprise a mobile computing device employing a computer platform hosting an integrated circuit ("IC"), such as a system on a chip ("SoC" or "SoC"), that integrates various hardware and/or software components of computing device 1500 on a single chip.

As shown, in one embodiment, computing device 1500 may include any number and type of hardware and/or software components, such as, but not limited to, a GPU1514, graphics drivers (also referred to as "GPU drivers," "graphics driver logic," "driver logic," User Mode Drivers (UMDs), UMDs, user mode driver chassis (UMDF), UMDF, or simply "driver") 1516, a CPU1512, a memory 1508, network devices, drivers, and the like, as well as input/output (I/O) sources 1504 such as touchscreens, touch panels, touchpads, virtual or regular keyboards, virtual or regular mice, ports, connectors, and the like.

Computing device 1500 can include an Operating System (OS)1506 that serves as an interface between the hardware and/or physical resources of the computing device 1500 and a user. It is contemplated that CPU1512 may include one or more processors and GPU1514 may include one or more graphics processors.

It should be noted that throughout this document, terms such as "node," "computing node," "server device," "cloud computer," "cloud server computer," "machine," "host," "device," "computing device," "computer," "computing system," and the like are used interchangeably. It should be further noted that throughout this document, terms such as "application," "software application," "program," "software program," "package," "software package," and the like may be used interchangeably. Also, terms such as "job," "input," "request," "message," and the like may be used interchangeably throughout this document.

It is contemplated, and as further described with reference to fig. 1-14, that some processes of the graphics pipeline described above are implemented in software, while the remaining processes are implemented in hardware. The graphics pipeline may be implemented in a graphics coprocessor design, where CPU1512 is designed to work with GPU1514, which GPU1514 may be included in or co-located with CPU 1512. In one embodiment, GPU1514 may employ any number and type of conventional software and hardware logic for performing conventional functions associated with graphics rendering, as well as novel software and hardware logic for performing any number and type of instructions.

As described above, memory 1508 may include Random Access Memory (RAM) including an application database having object information. The memory controller hub may access the data in RAM and forward it to GPU1514 for graphics pipeline processing. The RAM may include double data rate RAM (DDR RAM), extended data output RAM (EDO RAM), and the like. The CPU1512 interacts with the hardware graphics pipeline to share graphics pipeline functionality.

The processed data is stored in buffers in the hardware graphics pipeline, and state information is stored in memory 1508. The resulting image is then passed to an I/O source 1504, such as a display component for displaying the image. It is contemplated that the display device may be various types of display devices for displaying information to a user, such as a Cathode Ray Tube (CRT), a Thin Film Transistor (TFT), a Liquid Crystal Display (LCD), an Organic Light Emitting Diode (OLED) array, and the like.

Memory 1508 may include a pre-allocated area of buffers (e.g., frame buffers); however, one of ordinary skill in the art will appreciate that embodiments are not so limited and any memory accessible to the lower-level graphics pipeline may be used. The computing device 1500 may further include a Platform Controller Hub (PCH)130, one or more I/O sources 1504, and the like, as referenced in fig. 1.

The CPU1512 may include one or more processors for executing instructions to perform any software routines implemented by a computing system. An instruction often involves some operation being performed on data. Both data and instructions may be stored in system memory 1508 and any associated caches. Caches are typically designed to have a shorter latency than system memory 1508; for example, the cache may be integrated onto the same silicon chip(s) as the processor(s) and/or constructed with faster static ram (sram) cells, while the system memory 1508 may be constructed with slower dynamic ram (dram) cells. By tending to store more frequently used instructions and data in the cache, the overall performance efficiency of the computing device 1500 is improved, as opposed to the system memory 1508. It is contemplated that in some embodiments, GPU1514 may exist as part of CPU1512 (such as part of a physical CPU package), in which case memory 1508 may be shared or kept separate by CPU1512 and GPU 1514.

System memory 1508 may be available to other components within computing device 1500. For example, in the implementation of software programs, any data (e.g., input graphics data) received from various interfaces to the computing device 1500 (e.g., keyboard and mouse, printer port, Local Area Network (LAN) port, modem port, etc.) or retrieved from an internal storage element of the computing device 1500 (e.g., a hard disk drive) is typically temporarily queued into system memory 1508 prior to operation by one or more processors. Similarly, data that the software program determines should be sent from the computing device 1500 to an external entity through one of the computing system interfaces or stored into an internal storage element is often temporarily queued in system memory 1508 before it is transferred or stored.

Further, for example, the PCH may be used to ensure that such data is properly transferred between the system memory 1508 and its appropriate corresponding computing system interface (and internal storage device if the computing system is so designed) and that there may be a bidirectional point-to-point link between itself and the I/O source/device 1504 shown. Similarly, the MCH may be used to manage various competing requests for access to system memory 1508 between the CPU1512 and the GPU1514, interfaces, and internal storage elements, which may occur in close temporal proximity to each other.

The I/O source 1504 can include one or more I/O devices (e.g., network adapters) implemented to transfer data to and/or from the computing device 1500; or for large-scale non-volatile storage (e.g., hard disk drives) within the computing device 1500. A user input device, including alphanumeric and other keys, may be used to communicate information and command selections to GPU 1514. Another type of user input device is a cursor control, such as a mouse, a trackball, a touch screen, a touchpad, or cursor direction keys, for communicating direction information and command selections to GPU1514 and controlling cursor movement on a display device. The camera and microphone array of computer device 1500 may be employed to observe gestures, record audio and video, and receive and transmit visual and audio commands.

Computing device 1500 may further include network interface(s) to provide access to networks such as LANs, Wide Area Networks (WANs), Metropolitan Area Networks (MANs), Personal Area Networks (PANs), bluetooth, cloud networks, mobile networks (e.g., 3 rd generation (3G), 4 th generation (4G), etc.), intranets, the internet, and the like. The network interface(s) may include, for example, a wireless network interface having an antenna, which may represent one or more antennas. The network interface(s) may also include a wired network interface that communicates with remote devices, for example, via a network cable, which may be, for example, an ethernet cable, a coaxial cable, a fiber optic cable, a serial cable, or a parallel cable.

The network interface(s) may provide access to a LAN, for example by conforming to IEEE802.11 b and/or IEEE802.11g standards, and/or the wireless network interface may provide access to a personal area network, for example by conforming to a bluetooth standard. Other wireless network interfaces and/or protocols may also be supported, including previous and subsequent versions of the standard. In addition to, or instead of, communication via the wireless LAN standard, the network interface(s) may provide wireless communication using, for example, the following protocols: a Time Division Multiple Access (TDMA) protocol, a global system for mobile communications (GSM) protocol, a Code Division Multiple Access (CDMA) protocol, and/or any other type of wireless communication protocol.

The network interface(s) may include one or more communication interfaces such as a modem, a network interface card, or other well-known interface devices such as those used to couple to ethernet, token ring, or other types of physical wired or wireless attachments for the purpose of providing a communication link to support, for example, a LAN or WAN. In this manner, the computer system may also be coupled to a number of peripheral devices, clients, control surfaces, consoles, or servers via a conventional network infrastructure (e.g., including an intranet or the internet).

It should be appreciated that for certain implementations, systems that are less or more equipped than the examples described above may be preferred. Thus, the configuration of computing device 1500 may vary from implementation to implementation depending on numerous factors, such as price constraints, performance requirements, technological improvements, or other circumstances. Examples of electronic device or computer system 1500 may include (but are not limited to): mobile device, personal digital assistant, mobile computing device, smartphone, cellular telephone, handheld device, one-way pager, two-way pager, messaging device, computer, Personal Computer (PC), desktop computer, laptop computer, notebook computer, handheld computer, tablet computer, server array or server farm, web server, network server, internet server, workstation, minicomputer, mainframe computer, supercomputer, network appliance, web appliance, distributed computing system, multiprocessor systems, processor-based systems, consumer electronics, programmable consumer electronics, television, digital television, set top box, wireless access point, base station, subscriber station, mobile subscriber center, radio network controller, router, hub, gateway, bridge, and the like, A switch, a machine, or a combination thereof.

54页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:计算机存储加速方法、电子设备及存储介质

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类