Plasma processing method and plasma processing apparatus

文档序号:1522810 发布日期:2020-02-11 浏览:17次 中文

阅读说明:本技术 等离子体处理方法和等离子体处理装置 (Plasma processing method and plasma processing apparatus ) 是由 田端雅弘 于 2019-07-24 设计创作,主要内容包括:本发明提供一种能够改善局部最小线宽均匀性(LCDU)的技术。等离子体处理装置执行的等离子体处理方法包括第一工序、第二工序和蚀刻工序。等离子体处理装置在第一工序中,在形成有具有规定图案的多个开口部的处理对象形成第一膜。等离子体处理装置在第二工序中,在形成有第一膜的处理对象形成蚀刻速率比第一膜低的第二膜,该第二膜根据开口部的尺寸而在开口部的侧面的膜厚不同。等离子体处理装置在蚀刻工序中,在规定的处理条件下从第二膜之上进行蚀刻,直至在处理对象的至少一部分第一膜的一部分被除去为止。(The present invention provides a technique capable of improving local minimum line width uniformity (LCDU). The plasma processing method performed by the plasma processing apparatus includes a first process, a second process, and an etching process. In a first step, a plasma processing apparatus forms a first film on a processing object having a plurality of openings with a predetermined pattern. In the second step, the plasma processing apparatus forms a second film having a lower etching rate than the first film on the processing object on which the first film is formed, the second film having a thickness different from that of the first film on the side surface of the opening portion according to the size of the opening portion. In the etching step, the plasma processing apparatus performs etching from above the second film under predetermined processing conditions until a part of the first film is removed in at least a part of the processing target.)

1. A plasma processing method, comprising:

a first step of forming a first film on a processing object on which a plurality of openings having a predetermined pattern are formed;

a second step of forming a second film having a lower etching rate than the first film on the processing object on which the first film is formed, the second film having a thickness on a side surface of the opening portion different depending on a size of the opening portion; and

and an etching step of etching the second film under predetermined process conditions until a part of the first film is removed from at least a part of the object to be processed.

2. The plasma processing method according to claim 1, wherein:

the first step is to perform a reforming treatment on the film deposited on the processing object to form the first film having an etching rate higher than that of the second film.

3. The plasma processing method according to claim 2, wherein:

in the modification treatment, the film is exposed to plasma under prescribed treatment conditions.

4. The plasma processing method according to claim 1, wherein:

in the second step, the first film is modified by applying a modification treatment to form the second film.

5. The plasma processing method according to claim 4, wherein:

in the modification treatment, the first film is exposed to plasma under a treatment condition in which the opening portion having a larger size is modified by plasma to a greater depth from the surface or a greater degree of modification.

6. The plasma processing method according to any one of claims 1 to 5, wherein:

in the etching step, the predetermined processing condition is changed from a first processing condition to a second processing condition at a time when at least a part of the first film to be processed is exposed.

7. The plasma processing method according to any one of claims 1 to 6, wherein:

the first step, the second step, and the etching step are repeatedly executed until it is determined that a predetermined condition is satisfied.

8. A plasma processing method, comprising:

a deposition step of depositing a film on a processing object in which a plurality of openings having a predetermined pattern are formed under processing conditions in which an etching rate becomes lower as the processing object is separated from the processing object and deposition amounts on side surfaces of the openings are different depending on sizes of the openings; and

and an etching step of etching the processing object on which the film is deposited.

9. The plasma processing method according to claim 8, wherein:

in the deposition step, the film having a continuously variable etching rate is deposited by gradually changing the ratio of the plurality of gases supplied.

10. The plasma processing method according to claim 9, wherein:

in the deposition step, the oxygen content of the supplied gas is gradually increased.

11. The plasma processing method according to any one of claims 8 to 10, wherein:

the deposition step and the etching step are repeatedly performed until it is determined that a predetermined condition is satisfied.

12. A plasma processing apparatus, comprising:

a storage unit that stores a program for executing the plasma processing method according to any one of claims 1 to 11; and

a control unit for controlling the program to be executed.

Technical Field

The present invention relates to a plasma processing method and a plasma processing apparatus

Background

With the progress of miniaturization of semiconductor devices, research and development of technologies capable of performing fine-scale processing have been advanced. One of them is Extreme Ultraviolet lithography (EUVL: Extreme Ultraviolet lithography).

For example, a technique of smoothing the edge of a processing target using EUVL is proposed (patent document 1). In this technique, after a passivation layer deposited on a concave portion to be processed is preferentially formed, a convex portion on which the passivation layer is not deposited is removed by etching. The reason why the passivation layer is preferentially deposited in the concave portion compared to the convex portion is that the specific surface area of the concave portion is larger than that of the convex portion. This technique is also effective for reducing Local Critical Dimension Uniformity (LCDU).

Disclosure of Invention

Technical problem to be solved by the invention

The present invention provides a technique capable of improving an LCDU.

Technical solution for solving technical problem

A plasma processing method according to an embodiment of the present invention includes a first step, a second step, and an etching step. In the first step, a first film is formed on a processing object in which a plurality of openings having a predetermined pattern are formed. In the second step, a second film having a lower etching rate than the first film is formed on the processing object on which the first film is formed, and the second film has a film thickness on the side surface of the opening portion different depending on the size of the opening portion. In the etching step, etching is performed from above the second film under predetermined processing conditions until a part of the first film is removed from at least a part of the object to be processed.

Effects of the invention

According to the present invention, LCDUs can be improved.

Drawings

Fig. 1 is a flowchart showing an example of the flow of the plasma processing according to the first embodiment.

Fig. 2A is a schematic cross-sectional view of an example of a processing target of the plasma processing according to the first embodiment.

Fig. 2B is a schematic plan view of the processing target shown in fig. 2A.

Fig. 2C is a schematic cross-sectional view showing a state in which the first film and the second film are formed on the processing target shown in fig. 2A.

Fig. 2D is a diagram (1) for explaining removal rates of the first film and the second film deposited on the side wall of the opening portion by etching.

Fig. 2E is a diagram (2) for explaining removal rates of the first film and the second film deposited on the side wall of the opening portion by etching.

Fig. 3 is a diagram for explaining an LCDU improvement effect obtained by the plasma processing method according to the first embodiment.

Fig. 4 is a diagram for explaining the relationship between the film formation conditions and the etching resistance.

Fig. 5 is a diagram showing an example of a processing procedure of the plasma processing according to the first embodiment.

Fig. 6 is a diagram showing another example of the processing procedure of the plasma processing according to the first embodiment.

Fig. 7 is a diagram showing another example of the processing procedure of the plasma processing according to the first embodiment.

Fig. 8 is a flowchart showing an example of the flow of the plasma processing according to the first modification.

Fig. 9 is a diagram showing an example of a processing procedure of the plasma processing according to the first modification.

Fig. 10 is a diagram showing another example of the processing procedure of the plasma processing according to the first modification.

Fig. 11 is a diagram showing another example of the processing procedure of the plasma processing according to the first modification.

Fig. 12 is a flowchart showing an example of the flow of plasma processing according to the second modification.

Fig. 13 is a flowchart showing an example of the flow of plasma processing according to the third modification.

Fig. 14 is a diagram showing an example of a processing procedure of plasma processing according to a third modification.

Fig. 15 is a diagram showing an example of a vertical cross section of a plasma processing apparatus according to an embodiment.

Description of the reference numerals

1 plasma processing apparatus

10 Chamber

15 gas supply source

20 placing table

25 gas spray header

32 first high frequency power supply

34 second high frequency power supply

65 exhaust device

85 heat-conducting gas supply source

100 control part

104 base station

104a cooling flow path

106 electrostatic chuck.

Detailed Description

Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings. The present embodiment is not limited to this. In addition, the embodiments can be appropriately combined within a range in which the processing contents are not contradictory.

< first embodiment >

Dimensional variations in the microfabrication of semiconductors can affect the performance of the final product. For example, consider the case where a gate electrode is formed on a semiconductor substrate. First, a polysilicon layer for a gate electrode and a mask layer for etching are formed in this order on a semiconductor substrate. A pattern corresponding to the gate electrode is formed on the mask layer by photolithography such as EUVL. Then, the polysilicon layer is etched using the mask layer to form a gate electrode. In this case, if the pattern of the mask layer has a variation in size, the pattern may have a variation in size of the gate electrode. Therefore, it is preferable to improve the uniformity of the size of the pattern at the stage of the mask layer. In the first embodiment, a technique is provided for improving the LCDU by making the size of a pattern formed on a processing target uniform. For example, when a pattern having substantially the same size is repeatedly formed on a processing target a plurality of times, the plasma processing method according to the first embodiment can make the size of the pattern uniform. The plasma processing method according to the first embodiment can also have an effect of improving the roughness of the pattern of the semiconductor wafer or the like.

< example of the flow of plasma treatment in the first embodiment >

Fig. 1 is a flowchart showing an example of the flow of the plasma processing according to the first embodiment. The plasma processing according to the first embodiment is performed by, for example, a plasma processing apparatus (see fig. 15) described later.

First, a processing object (for example, a wafer) having a plurality of openings with a predetermined pattern formed therein is disposed in a space for performing plasma processing. Then, the plasma processing apparatus performs the first process (step S11). The plasma processing apparatus forms a first film on a predetermined pattern of a processing object in a first step. Next, the plasma processing apparatus performs a second process (step S12). The plasma processing apparatus forms a second film in the second step. The second film is formed so as to cover the first film. Here, the process conditions are set to perform deposition of the second film such that the amount of the second film deposited on the side surface of the opening portion differs according to the size of the opening portion on the processing target. In addition, the process conditions are set to perform deposition of the second film such that the second film has a lower etching rate than the first film. Next, the plasma processing apparatus performs an etching process (step S13). In the etching step, the plasma processing apparatus etches a processing object having a first film and a second film formed in this order under predetermined processing conditions until at least a part of the first film is removed from the second film. Then, the plasma processing apparatus determines whether or not the processing target satisfies a predetermined condition (step S14). When it is determined that the predetermined condition is not satisfied (no at step S14), the plasma processing apparatus returns to step S11 to repeat the process. On the other hand, when it is determined that the predetermined condition is satisfied (yes at step S14), the plasma processing apparatus ends the process. This is an example of the flow of the plasma processing according to the first embodiment.

< LCDU improvement Using load Effect and selection ratio >

Referring to fig. 2A to 2E, the plasma treatment of the first embodiment is further explained. Fig. 2A is a schematic cross-sectional view of an example of a processing target of the plasma processing according to the first embodiment. Fig. 2B is a schematic plan view of the processing target shown in fig. 2A.

The processing object shown in fig. 2A includes a substrate SB, an etched layer EL, and a mask layer MK. An etched layer EL and a mask layer MK are sequentially formed on the substrate SB. In addition, a predetermined pattern is formed in the mask layer MK. As shown in fig. 2B, the predetermined pattern is formed as a plurality of substantially perfect circles when viewed from above, and the plurality of substantially perfect circles are arranged in a row with a predetermined interval therebetween. The 3 openings on the line V1-V1 in FIG. 2B are represented by O1, O2, and O3, respectively. The widths of the openings O1, O2, and O3 along the line V1-V1 are denoted by W1, W2, and W3.

Here, the openings O1, O2, and O3 are designed to have the same size, and the widths W1, W2, and W3 are designed to have the same length. However, the mask layer MK may be patterned by photolithography such as EUVL, and the size of each opening may vary. For example, the width dimensions of the openings may vary such that W1< W2, W2> W3, and W1< W3.

Therefore, the first step (fig. 1, step S11) of the above embodiment is executed. As an example, the first film is formed by performing a first process using Chemical Vapor Deposition (CVD) of a material having a loading effect. The loading effect is a phenomenon such as a difference in film thickness of a film formed according to the thickness of a pattern. For example, the size of the openings after film formation varies depending on the size of the pattern itself, for example, the opening area of the openings. The size of the opening after film formation varies depending on the shape and arrangement of the pattern located around the pattern. Due to the load effect, the film thickness varies depending on the thickness of the pattern, and thus, for example, as shown in fig. 2C, the film thickness of the first film formed on the side wall T1 of the small-width opening O1 is thin, and the film thickness of the second film formed on the side wall T2 of the large-width opening O2 is thick (see fig. 2C). Fig. 2C is a schematic cross-sectional view showing a state in which the first film and the second film are formed on the processing target shown in fig. 2A. In fig. 2C, for the sake of explanation, the difference in film thickness is shown more emphasized than in reality.

Next, the second step of the above embodiment is executed (fig. 1, step S12). For example, the second film is formed by CVD using a material having a loading effect, as in the first step. In this way, similarly to the first film, a thin second film is formed on the sidewall T1, and a thick second film is formed on the sidewall T2 (see fig. 2C).

Next, after the first film and the second film are formed, the object to be processed is etched (fig. 1, step S13). First, the second film is cut by etching to gradually remove the second film. At this time, the second film formed on the sidewall T2 is thicker than the second film formed on the sidewall T1. Therefore, even if the second film on the side wall T1 is removed by etching, the second film remains on the side wall T2.

Fig. 2D and 2E are diagrams (1) and (2) for explaining removal rates of the first film and the second film deposited on the side wall of the opening portion by etching, respectively. A first film having a film thickness a and a second film having a film thickness B are deposited on the sidewall T2 of the processing object shown in fig. 2D. Further, a first film having a film thickness of a and a second film having a film thickness of b are deposited on the sidewall T1 of the processing target shown in fig. 2E. The magnitude relation of the film thickness values is A > a, B > B.

First, it took 12 seconds to remove the second film (film thickness B) on the sidewall T2 by etching, and it took 10 seconds to remove the second film (film thickness B) on the sidewall T1 by etching, and thus, assuming that the entire processing object was etched for 12 seconds, the first film remained without being etched (the removed film thickness is B) on the sidewall T2 after the second film was removed for 12 seconds, whereas, on the sidewall T1, the first film was further etched for 2 seconds after the second film was removed for 10 seconds, and therefore, the film thickness removed on the sidewall T1 was the film thickness obtained by adding the film thickness B of the second film to the film thickness α of the first film removed in the 2-second etching (the removed film thickness is B + α).

Here, when the etching rate of the first film and the etching rate of the second film are the same, the film thickness removed by etching in the sidewall T1 is the same as the film thickness removed by etching in the sidewall T2 (B ═ B + α).

For example, when the etching rate of the first film is higher than that of the second film, B < B + α, and the change in the film thickness on the side wall T2 before and after the plasma treatment is a + B-B ═ a, whereas the change in the film thickness on the side wall T1 is a + B- (B + α) ═ a- α, the width W2 of the opening O2 is reduced by only 2A, whereas the width W1 of the opening O1 is reduced by only 2A-2 α, that is, the opening size can be reduced on the side of the opening O2 having a wider width compared with the side of the opening O1 having a narrower width.

Fig. 3 is a diagram for explaining an LCDU improvement effect obtained by the plasma processing method according to the first embodiment. In fig. 3, the vertical axis represents the opening size of the opening, and the horizontal axis represents the processing time. The solid line indicates a change in the opening size between the side walls T1 of the opening O1, and the broken line indicates a change in the opening size between the side walls T2 of the opening O2 (see fig. 2C).

First, at the opening O1, at time T0, when the first process starts, deposition of the first film starts on the side wall T1. During the first step, the opening size gradually decreases, and at time t1 when the first step ends, the opening size decreases from WA1 before processing to WA 2. Next, at time T1 when the second process starts, deposition of a second film starts on the side wall T1 of the opening portion O1. During the second process, the opening size gradually decreases, and at time t2 when the second process ends, the opening size further decreases to WA 3.

On the other hand, at the opening O2, the deposition of the first film on the side wall T2 is started at time T0 at the start of the first process. During the first process, the opening size gradually decreases from WB1 before processing to WB2 at time t1 when the first process ends. Next, at time T1 when the second process starts, deposition of the second film starts on the side wall T2 of the opening portion O2. During the second process, the opening size gradually decreases, and at time t2 when the second process ends, the opening size further decreases to WB 3.

Next, at time t2 when the etching process starts, the second film is gradually reduced in the opening O1, and the opening size increases. At time T3, the second film deposited on the side wall T1 of the opening O1 is completely removed by etching and the first film is exposed. Since the etching rate of the first film is higher than that of the second film, the rate at which the opening size becomes large, that is, the removal rate at which the film is removed by etching increases after time t 3. At time t5 when the etching process is completed, the opening size of the opening O1 is WA 4.

On the other hand, in the opening O2, at the time t2 when the etching process is started, the second film is gradually reduced and the opening size is increased, similarly to the opening O1. However, in the opening O2, the opening size WB1 is larger than the opening size WA1 of the opening O1 at the process start time t0, and therefore the film thicknesses of the first film and the second film deposited by the load effect are thicker than the opening O1. Therefore, the time at which the second film is completely removed at the opening O2 is at time t4 after time t 3. After time t4, etching of the first film also starts in the opening O2. At time t5 when the etching process is completed, the opening size of the opening O2 is WB 4.

As is clear from fig. 3, the size difference (WB 4-WA 4) between the opening O1 and the opening O2 at the processing end time t5 is reduced compared with the size difference (WB 1-WA 1) between the opening O1 and the opening O2 at the processing start time t 0. In particular, the etching rate increases after the second film is removed at the opening O1 (time t3), whereby the dimensional difference rapidly decreases. As is clear from the above, by increasing the etching selectivity of the first film to the second film, the size difference of the opening portion can be rapidly reduced.

< relationship between Loading Effect and LCDU improving Effect >

Next, the relationship between the load effect and the LCDU improvement effect will be described. For example, as shown in fig. 2C, an opening O1 and an opening O2 having a larger opening size than the opening O1 are formed in the processing target. The thickness of the first film deposited in the first step and the thickness of the second film deposited in the second step are a and b in the opening O1 and A, B in the opening O2. In addition, an etching selection ratio of the first film to the second film (a ratio of an etching rate of the first film to an etching rate of the second film, i.e., a first etching rate/an etching rate of the second film) is set to S.

At this time, when the second film is completely removed in the opening O1, the thickness of the second film remaining in the opening O2 is (B-B). When all the second films remaining in the opening O2 were removed, the first film remaining in the opening O1 had a film thickness of (a- (S × (B-B))). Thus, the difference between the opening size of the opening O2 and the opening size of the opening O1 is reduced by (a- (S × (B-B))) (LCDU improvement amount). When a-a is X, B-b is Y substituted into the above formula, the LCDU improvement amount can be expressed by the following formula (1).

(X + (S X Y)) … formula (1)

From the equation (1), it can be said that the larger the values of X and Y, the larger the LCDU improvement amount. That is, the larger the loading effect (X, Y), the larger the amount of LCDU improvement for either the first or second membrane. That is, the larger the difference (X, Y) in film thickness between the first film and the second film formed in the openings O1 and O2, the larger the LCDU improvement amount. Further, the more the first film and the second film have the load effect (X, Y), the more the LCDU is improved. In addition, a large improvement effect is expected in the case where the second film has a loading effect (Y) and the etching selectivity ratio (S) of the first film to the second film is large.

< example without utilizing load Effect >

In the example of fig. 3, the film thicknesses of the first film and the second film formed in the opening O1 and the opening O2 are controlled to be different from each other by the load effect. However, the present invention is not limited to this, and the first film may be formed by a method not utilizing the load effect, and only the second film may be formed utilizing the load effect. For example, the first film may be formed by an Atomic Layer Deposition (ALD).

If there is a difference between the thicknesses of the second films formed in the opening O1 and the opening O2, the timing of etching of the first film is shifted, and therefore, there is a difference between the thicknesses of the films etched in the opening O1 and the opening O2. Therefore, the effect of the present embodiment can be obtained without forming the first film by utilizing the load effect.

< etching Rate and treatment conditions >

Fig. 4 is a diagram for explaining the relationship between the film formation conditions and the etching resistance. The example shown in fig. 4 shows that the selection ratio can be obtained even when the first film and the second film are formed using the same material. In FIG. 4, the vertical axis represents the etching rate (nm/min), and the horizontal axis represents O during film formation 2Add flow rate (sccm).

The film formation conditions used in the example of fig. 4 are as follows. In the following conditions, the applied power is expressed in the order of the applied power for plasma generation and the applied power for bias voltage generation.

Pressure in the chamber 10mT

Applied power 1000W +0W

Gas type and flow SiCl 4/He/O 2=25/100/@@sccm

Treatment time 60 seconds

The etching conditions used in the example of fig. 4 are as follows.

Example 1

Pressure in the chamber 20mT

Applied power 500W +100W

Gas type and flow rate C 4F 8/Ar=40/200sccm

Example 2

Pressure in the chamber 20mT

Applied power 500W +50W

Gas type and flow Cl 2=200sccm

As can be seen from the example of FIG. 4, the same SiO is formed 2In the case of membranes, by changing O 2The added flow rate of (a) can cause the etch rate to vary. In the example of FIG. 4, O 2The less the flow rate added, the higher the etching rate, O 2The more flow added, the lower the etch rate. Therefore, O can be reacted with 2SiO formation with a reduced flow rate 2After the film is used as the first film, the O is removed 2SiO formation with a large setting of the flow rate of addition 2The film serves as a second film. Although different depending on the type of etching gas, the same SiO can be used in the example of FIG. 4 2The film controls the etching selectivity ratio within a range of about 1 to 17 degrees.

< example of processing sequence >

Fig. 5 is a diagram showing an example of a processing procedure of the plasma processing according to the first embodiment. In the first step, SiCl is introduced 4And O 2Deposition of SiO by CVD as a process gas 2The membrane serves as the first membrane. In the second step, SiCl is also added 4And O 2Deposition of SiO by CVD as a process gas 2The film serves as a second film. Wherein in the second step, O is increased as compared with the first step 2Is adjusted so that the etching rate of the first film is higher than the etching rate of the second film. Using NF 3The etching process is performed. As described above, in the plasma processing method according to the first embodiment, the same kind of film can be formed as the first film and the second film by changing the processing conditions in the first step and the second step.

< example of treatment sequence II >

Fig. 6 is a diagram showing another example of the processing procedure of the plasma processing according to the first embodiment. In the first step, a first carbon film is deposited as a first film by CVD using a first kind of carbon-containing gas as a process gas. The first type of carbon-containing gas is, for example, a CF-based gas. A first type of carbon-containing gas being, for example, C 4F 8、C 4F 6And the like. The first type of carbon-containing gas is, for example, a CHF gas. The first type of carbon-containing gas being, for example, CH 2F 2、CH 3F, and the like. In the second step, a second carbon film is deposited as a second film by CVD using a second kind of carbon-containing gas as a process gas. The second type of carbon-containing gas is, for example, a CH-series (e.g., CH) 4Etc.) gas. Using O 2The etching process is performed. In the first step, the second step and the etching step, the etching step may be carried out in the same manner as described aboveRare gas such as Ar is used.

< example III of processing sequence >

Fig. 7 is a diagram showing another example of the processing procedure of the plasma processing according to the first embodiment. In the first process, a carbon film is deposited as a first film by CVD using a carbon-containing gas as a process gas. For example, CF-based, CH-based, CHF-based, and the like gases can be used as the process gas. In the second step, SiCl is used 4And O 2Deposition of SiO by CVD as a process gas 2The film serves as a second film. Using NF 3The etching process is performed.

As described above, the plasma processing method according to the first embodiment can be performed by combining the proportional types. In addition, the first film and the second film may be of the same film type.

< number of cycles >

In the plasma processing method according to the first embodiment, the first step, the second step, and the etching step are performed as one cycle until predetermined conditions are satisfied. The predetermined condition is, for example, that the difference in size between a plurality of openings formed in the processing target is equal to or smaller than a predetermined value, and that a predetermined number of cycles are executed.

< types of membranes, types of gases, etc. >

In the first embodiment, the film type of the first film and the second film is SiO 2And carbon-containing films (e.g., CF-based, CH-based, CHF-based). However, without being limited thereto, the first film and the second film may be, for example, silicon oxide (SiO) x) And silicon-containing films such as silicon nitride (SiN), silicon carbide (SiC), and silicon (Si). The first film and the second film may be, for example, a titanium (Ti) -containing film or a tungsten (W) -containing film. In addition, the first film and the second film may be, for example, boron-containing films.

In addition, as for the kind of gas used in the etching step, when the film to be etched contains silicon and metal, a halogen-containing gas is used. In the case where the film to be etched is a carbon-containing film, an oxygen-containing gas can be used as the etching gas.

< method of etching >

In the Etching step, for Etching the sidewall, isotropic Etching, anisotropic Etching, plasma Etching, Atomic Layer Etching (ALE), or the like can be used. In the etching step, the etching conditions may be changed at the time when the second film is removed and at least a part of the first film is exposed. For example, the removal rate of the first film by etching can be further increased by changing the process conditions of etching from the first process conditions suitable for etching of the second film to the second process conditions suitable for etching of the first film. For example, the etching rate of the first film may be changed by changing the kind of the etching gas at the time when at least a part of the first film is exposed.

Alternatively, the first embodiment may be modified to use the mask layer MK (see fig. 2A) itself as the first film, and the mask layer MK may be etched after depositing a film having an etching rate lower than that of the mask layer. Furthermore, the LCDU can be improved by varying the etching amount of the mask layer MK depending on the position. In addition, not only two layers of the first film and the second film but also 2 or more layers of the film may be formed. In this case, a difference in etching rate may be provided between the films. In this case, the etching rate may be set so that the film etching rate becomes lower toward the outer side.

In the first embodiment, the description has been given taking as an example a pattern in which a plurality of perfect circles shown in fig. 2A and 2B are arranged in a row. However, the present embodiment is not limited to the pattern of the shape shown in fig. 2A and 2B, and can be used to improve the LCDU or linear variation of the elliptical pattern. For example, this embodiment can be used to improve LER (Line edge Roughness) and LWR (Line Width Roughness).

< effects of the first embodiment >

The plasma processing method of the first embodiment includes a first step, a second step, and an etching step. In the first step, the plasma processing apparatus forms a first film on a processing object in which a plurality of openings having a predetermined pattern are formed. In the second step, the plasma processing apparatus forms a second film having a thickness different from that of the side surface of the opening portion and having a lower etching rate than the first film, depending on the size of the opening portion, on the processing target on which the first film is formed. In the etching step, the plasma processing apparatus performs etching from the second film under predetermined processing conditions until a part of the first film is removed from at least a part of the processing target. Therefore, according to the plasma processing method of the first embodiment, the LCDU can be improved by using the load effect and the difference between the etching rates of the first film and the second film. The plasma processing method according to the first embodiment can be applied to, for example, improvement of LCDU in a pattern formed by Extreme Ultraviolet lithography (EUVL).

In the plasma processing method according to the first embodiment, the plasma processing apparatus changes the predetermined processing condition from the first processing condition to the second processing condition at the time when the first film is exposed in at least a part of the processing target in the etching step. For example, the plasma processing apparatus can further increase the removal rate of the first film by etching by changing from the first processing condition suitable for etching of the second film to the second processing condition suitable for etching of the first film. Therefore, the plasma processing apparatus can further improve the improvement effect of the LCDU.

In the plasma processing method according to the first embodiment, the plasma processing apparatus repeatedly executes the first step, the second step, and the etching step until it is determined that the predetermined condition is satisfied. Therefore, the plasma processing apparatus can perform the processing until a desired LCDU is realized.

< modification example one-formation of an oblique composition film >

In the first embodiment, after the first film and the second film are formed, respectively, the LCDU is improved by etching. In the first modification, the deposited film is regarded as 1 layer, and the film formation conditions are changed, whereby the effect equivalent to that of forming the two films of the first film and the second film in the first embodiment can be obtained.

Fig. 8 is a flowchart showing an example of the flow of the plasma processing according to the first modification. The plasma processing according to the first modification is performed by, for example, a plasma processing apparatus (see fig. 15) described later.

First, as in the plasma processing (see fig. 1) of the first embodiment, a processing object (for example, a wafer) in which a plurality of openings having a predetermined pattern are formed is disposed in a space for performing the plasma processing. The plasma processing apparatus performs a deposition process (step S81). In the deposition process, the plasma processing apparatus deposits a film on the pattern under a process condition in which the etching rate of the film deposited with the distance from the processing object is gradually decreased. In addition, the film deposited in the deposition step becomes a film having a different film thickness depending on the size of the opening portion due to the loading effect. Next, the plasma processing apparatus performs an etching process (step S82). Then, the plasma processing apparatus determines whether or not the processing target satisfies a predetermined condition (step S83). When it is determined that the state does not satisfy the predetermined condition (no at step S83), the plasma processing apparatus returns to step S81 to repeat the process. On the other hand, when it is determined that the predetermined condition is satisfied (yes at step S81), the plasma processing apparatus ends the process. This is an example of the flow of the plasma processing according to the first modification.

< example of processing sequence >

Fig. 9 is a diagram showing an example of a processing procedure of the plasma processing according to the first modification. In the example of fig. 9, SiO is deposited in the same manner as in the example of fig. 5 2And (3) a membrane. First, in the deposition process, for example, SiCl is added 4And O 2Deposition of SiO by CVD as a process gas 2And (3) a membrane. Gradually increasing O during the deposition process 2The flow rate of (c). Therefore, in the flow of fig. 9, SiO is formed on the processing object 2The etching rate of the film gradually decreases (see fig. 4). During the deposition process, SiCl 4The flow rate of (2) is constant. After the deposition process, NF is used 3The gas generates a plasma to perform the etching process. As described above, in the plasma processing method according to the first modification, the etching of one film can be performed by changing the processing conditions during the deposition processThe tick rate is gradually changed. For example, in this plasma processing method, a film is deposited so that the etching rate continuously changes by gradually changing the ratio of the components to be the film. In addition, in the plasma processing method, the etching rate of one film can be gradually changed by increasing the flow rate of a predetermined gas.

< example of treatment sequence II >

Fig. 10 is a diagram showing another example of the processing procedure of the plasma processing according to the first modification. In the example of fig. 10, the film is deposited using 2 kinds of carbon-containing gases as in the example of fig. 6. However, unlike the example of fig. 6, in the example of fig. 10, during the deposition process, the flow rate of the first carbon-containing gas is gradually decreased while the flow rate of the second carbon-containing gas is gradually increased. Thus, the deposited film is one in which the first carbon-containing gas is the most strongly characterized and gradually the second carbon-containing gas is the most strongly characterized at the beginning of the process. For example, as shown in fig. 6, in the case where the etching rate of the first carbon film is higher than that of the second carbon film, by the process of fig. 10, a carbon film whose etching rate becomes lower can be gradually deposited in a manner going from the lower layer to the upper layer. Further, the first carbon-containing gas is, for example, a CF-based gas (C) 4F 8、C 4F 6Etc.), CHF-based gas (CH) 2F 2、CH 3F, etc.). The second carbon-containing gas is, for example, a CH-based gas (CH) 4Etc.).

< example III of processing sequence >

Fig. 11 is a diagram showing another example of the processing procedure of the plasma processing according to the first modification. In the example of fig. 11, a film is deposited using the same process gas as in the example of fig. 7. However, unlike the example of fig. 7, in the example of fig. 11, the flow rate of the carbon-containing gas is gradually reduced while SiCl is simultaneously caused to flow during the deposition process 4And O 2Gradually increases in flow rate. Thus, the deposited film was a carbon film at the start of the process, gradually becoming SiO 2The film and the composition change. Therefore, by the process of fig. 11, a film whose etching rate becomes low can be deposited so as to gradually go from the lower layer to the upper layer.

In addition, each flow of the first modification can be repeated for an arbitrary number of cycles until a desired LCDU is realized, as in the first embodiment.

< effect of first modification >

The plasma processing method according to the first modification includes a deposition step and an etching step. In a deposition step, a plasma processing apparatus deposits a film on a processing object having a plurality of openings with a predetermined pattern under processing conditions in which an etching rate decreases with distance from the processing object and a deposition amount on a side surface of the opening varies depending on a size of the opening. The plasma processing apparatus performs etching of a processing target on which a film is deposited in an etching step. Therefore, according to the plasma processing method of the first modification, the difference in etching rate can be given by depositing one film by changing the physical conditions. Therefore, according to the plasma processing method of the first modification, the LCDU can be improved with a smaller number of steps.

In the plasma processing method according to the first modification, the plasma processing apparatus performs film deposition in which the etching rate is continuously changed by gradually changing the ratio of the plurality of gases supplied in the deposition step. For example, the plasma processing apparatus gradually increases the oxygen content of the supplied gas. Therefore, according to the first modification, the plasma processing apparatus can improve the LCDU by a simple process.

In the plasma processing method according to the first modification, the deposition step and the etching step are repeatedly performed until it is determined that the predetermined condition is satisfied. Therefore, according to the first modification, the LCDU can be improved to a desired level.

< modification example two-adjustment of etching Rate by modification >

In the first modification, the etching rate is changed in one film by changing the flow rate of the component at the time of forming the film. In the second modification, modification treatment is performed on the formed film to make it the first film so that the first film and the second film have a difference in etching rate.

Fig. 12 is a flowchart showing an example of the flow of plasma processing according to the second modification. The plasma processing according to the second modification is performed by, for example, a plasma processing apparatus (see fig. 15) described later.

First, similarly to the plasma processing (see fig. 1) of the first embodiment, a processing object (for example, a wafer) in which a plurality of openings having a predetermined pattern are formed is disposed in a space for performing the plasma processing. The plasma processing apparatus performs a first process (step S1201). The plasma processing apparatus first deposits a film on a pattern in a first process. Next, the plasma processing apparatus performs modification processing of the deposited film. The modification treatment is a treatment for increasing the etching rate of the film by a modification action such as making the surface of the film brittle. Thereby forming a first film. Next, the plasma processing apparatus performs a second process (step S1202). In the second process, the plasma processing apparatus deposits a second film on the first film by CVD or the like. In addition, the second step is performed under conditions that can obtain a load effect, as in the first embodiment. Next, the plasma processing apparatus performs an etching process (step S1203). Then, the plasma processing apparatus determines whether or not the processing target satisfies a predetermined condition (step S1204). When it is determined that the state does not satisfy the predetermined condition (no in step S1204), the plasma processing apparatus returns to step S1201 to repeat the process. On the other hand, when it is determined that the predetermined condition is satisfied (yes in step S1204), the plasma processing apparatus ends the process. This is an example of the flow of the plasma processing in the second modification.

The reforming treatment is, for example, a treatment of generating plasma in a state where a gas as a material of the film is not supplied. For example, in the first process, a nitride film (SiN) is first deposited. Then, hydrogen (H) is generated 2) Exposing the nitride film to the H plasma. The film surface becomes brittle by this treatment, and therefore the etching rate becomes high. However, the combination of the film species and the gas species at the time of generating plasma is not limited thereto. For example, an oxide film (SiO) may be deposited in the first step 2) Then, hydrogen (H) is generated 2) And exposing the oxide film to H plasma to perform modificationAnd (6) processing.

Further, the modification processing may be performed with or without the load effect. In the case of utilizing the load effect, the larger the opening size, the greater the degree of modification or depth from the modified surface. In the case where the nitride film is modified by H plasma, the degree of modification or the modification depth can be increased as the opening size is larger because the portion having a large surface area is exposed to the plasma to a greater extent.

< Effect of modification example two >

The plasma processing method according to the second modification includes a first step, a second step, and an etching step. In the first step, the plasma processing apparatus forms a first film on a processing object in which a plurality of openings having a predetermined pattern are formed. In the second step, the plasma processing apparatus forms a second film having a lower etching rate than the first film on the processing object on which the first film is formed, the second film having a thickness different from that of the side surface of the opening portion depending on the size of the opening portion. In the etching step, the plasma processing apparatus performs etching from the second film under predetermined processing conditions until a part of the first film is removed from at least a part of the object to be processed. In the second modification, the plasma processing apparatus performs a reforming process on the film to be processed in the first step to form the first film having a higher etching rate than the second film. The modification treatment is, for example, a step of exposing the film to plasma under predetermined treatment conditions. Therefore, according to the second modification example, it is possible to deposit the same kind of film as the first film and the second film, and to make the etching rate have a difference by the modification treatment.

< modification example three-formation of second film by modification treatment >

In the second modification, the modification treatment is performed to make the etching rates of the first film and the second film different. In the third modification, the deposited film is formed as one layer, and the modification treatment is performed after the film is deposited, whereby an effect equivalent to 2 films having different deposition etching rates can be obtained.

Fig. 13 is a flowchart showing an example of the flow of plasma processing according to the third modification. The plasma processing according to the third modification is performed by a plasma processing apparatus (see fig. 15) described later.

First, similarly to the plasma processing (see fig. 1) of the first embodiment, a processing object (for example, a wafer) in which a plurality of openings having a predetermined pattern are formed is disposed in a space for performing the plasma processing. The plasma processing apparatus performs a first process (step S1301). The plasma processing apparatus first deposits a film on a pattern in a first process. Here, the kind of the deposited film is not particularly limited, but for example, the film is formed by performing CVD with the same kind of gas without changing the process conditions in the middle. Next, the plasma processing apparatus performs a second process (step S1302). In the second step, the plasma processing apparatus performs a modification process on the film formed in the first step. The modification treatment is a treatment for reducing the etching rate of the surface of the film formed in the first step. In addition, the modification treatment is performed under a load effect condition. That is, the modification treatment is performed under the condition that the larger the opening size is, the greater the degree of modification or the depth from the modified surface becomes. Next, the plasma processing apparatus performs an etching process (step S1303). Then, the plasma processing apparatus determines whether or not the processing target satisfies a predetermined condition (step S1304). When it is determined that the predetermined condition is not satisfied (no in step S1304), the plasma processing apparatus returns to step S1301 to repeat the process. On the other hand, if it is determined that the predetermined condition is satisfied (yes in step S1304), the plasma processing apparatus ends the process. This is an example of the flow of plasma processing in the third modification.

Fig. 14 is a diagram showing an example of a processing procedure of plasma processing according to a third modification. In the example of fig. 14, the plasma processing apparatus performs a modification (modification) step as a second step after the first step (CVD). Thereafter, the plasma processing apparatus performs an etching process. In the first step in the example of fig. 14, the plasma processing apparatus uses methane (CH) as the processing gas 4) And octafluorocyclobutane (C) 4F 8) To deposit the film. In the next second step, the plasma processing apparatus stops supplying CH 4And C 4F 8And a rare gas such as argon (Ar) or helium (He), nitrogen (N) is supplied 2) Hydrogen (H) 2) And the like to turn the plasma into a plasma. The film deposited in the first process is exposed to plasma, and thus is pressed and the density is increased. Therefore, the film is hardened in the second step, and the etching rate is lowered. In this case, the film deposited in the first step has a large degree of exposure to plasma in the portion having a large opening size, and thus the degree of modification or the depth of modification differs depending on the opening size. Therefore, substantially the same loading effect as that when the second film is deposited by the loading effect in the first embodiment or the like can be obtained. After the second step, the plasma processing apparatus supplies O 2The etching of the modified film is performed.

In addition, the kind of gas that can be used in the process shown in fig. 14 is not limited to C 4F 8And CH 4. In the first step, a film may be deposited using a gas species containing silicon or carbon, for example. In the second step, the supply of the gas species containing silicon and carbon may be stopped, and then a rare gas (Ar, etc.) and a hydrogen gas (H) may be supplied 2) Nitrogen (N) 2) And the plasma is generated. Further, the CVD performed in the first process may be plasma CVD.

< effects of the third modification >

In the plasma processing method according to the third modification example, the second film is formed by modifying the first film by performing modification treatment on the first film in the second step. In the modification treatment, the first film is exposed to plasma under treatment conditions in which the opening portion having a larger size is modified by plasma to a greater depth from the surface or a greater degree of modification. Therefore, according to the plasma processing method of the third modification, the film properties can be changed by the load effect, and the film etching rate can be changed. Therefore, according to the third modification, the same effects as those of the first embodiment and the like using two films can be obtained using one film.

In the plasma processing method according to the third modification, the deposition step and the etching step are repeatedly performed until it is determined that the predetermined condition is satisfied. Therefore, according to the plasma processing method of the third modification, the number of repetitions of the process is adjusted, and a desired LCDU improvement effect can be obtained.

< example of plasma processing apparatus according to one embodiment >

The plasma processing method according to the first embodiment and the first to third modifications can be performed by using the plasma processing apparatus 1 described below.

A plasma processing apparatus 1 according to an embodiment will be described with reference to fig. 15. Fig. 15 is a diagram showing an example of a vertical cross section of the plasma processing apparatus 1 according to the embodiment. The plasma processing apparatus 1 according to the present embodiment performs desired plasma processing such as plasma etching, film formation, sputtering, and the like on a semiconductor wafer. The plasma processing apparatus 1 of the present embodiment is a parallel-plate type plasma processing apparatus (capacitively-coupled plasma processing apparatus) in which a mounting table 20 and a gas shower head 25 are disposed to face each other in a chamber 10. The mounting table 20 also functions as a lower electrode, and the gas shower head 25 also functions as an upper electrode.

The plasma processing apparatus 1 has a cylindrical chamber 10 made of, for example, aluminum having an alumite-treated (anodized) surface. The chamber 10 is electrically grounded. A mounting table 20 for mounting a semiconductor wafer (hereinafter, simply referred to as "wafer W") is provided at the bottom of the chamber 10. The wafer W is an example of a processing target. The mounting table 20 includes an electrostatic chuck 106 for holding the wafer W by an electrostatic attraction force, and a base 104 for supporting the electrostatic chuck 106. The base 104 is made of, for example, aluminum (Al), titanium (Ti), silicon carbide (SiC), or the like.

An electrostatic chuck 106 for electrostatically attracting the wafer is provided on the upper surface of the base 104. The electrostatic chuck 106 has a structure in which a chuck electrode 106a is sandwiched between insulators 106 b. The chuck electrode 106a is connected to a dc voltage source 112, and a dc voltage HV is applied from the dc voltage source 112 to the chuck electrode 106a, whereby the wafer W is attracted to the electrostatic chuck 106 by an electrostatic force. A holding surface for holding the wafer W and a peripheral edge portion which is a portion lower in height than the holding surface are formed on the upper surface of the electrostatic chuck 106. The wafer W is placed on the holding surface of the electrostatic chuck 106. Hereinafter, the holding surface of the electrostatic chuck 106 will be referred to as "the mounting surface of the mounting table 20" as appropriate.

A focus ring 108 is disposed at a peripheral edge portion of the electrostatic chuck 106 so as to surround the wafer W placed on the placement surface of the placement stage 20. The focus ring 108 is formed of, for example, silicon or quartz. The focus ring 108 functions to improve the in-plane uniformity of etching.

Further, a coolant flow path 104a is formed inside the mounting table 20 (base 104). The refrigerant flow path 104a is connected to a refrigerant inlet pipe 104b and a refrigerant outlet pipe 104 c. A cooling medium (hereinafter, also referred to as a "refrigerant") such as cooling water or brine (brine) output from the refrigeration unit 107 circulates while flowing through the refrigerant inlet pipe 104b, the refrigerant passage 104a, and the refrigerant outlet pipe 104 c. The mounting table 20 and the electrostatic chuck 106 are cooled by the refrigerant.

The heat conductive gas supply source 85 supplies a heat conductive gas such as helium gas (He) to the back surface of the wafer W on the electrostatic chuck 106 through the gas supply path 130. With this configuration, the electrostatic chuck 106 performs temperature control using the refrigerant circulating through the refrigerant passage 104a and the heat transfer gas supplied to the back surface of the wafer W.

The stage 20 is connected to a power supply device 30 that supplies a dual-frequency superimposed power. The power supply device 30 includes a first high-frequency power supply 32 that supplies a first high-frequency power (high-frequency power for plasma generation) of a first frequency and a second high-frequency power supply 34 that supplies a second high-frequency power (high-frequency power for bias voltage generation) of a second frequency lower than the first frequency. The first high-frequency power source 32 is electrically connected to the stage 20 via a first matching unit 33. The second high-frequency power source 34 is electrically connected to the mounting table 20 via a second matching unit 35. The first high-frequency power supply 32 applies a first high-frequency power of, for example, 40MHz to the stage 20. The second high-frequency power supply 34 applies a second high-frequency power of, for example, 400kHz to the stage 20. In the present embodiment, the first high-frequency power is applied to the stage 20, but may be applied to the gas shower head 25.

The first matcher 33 matches a load impedance with an internal (or output) impedance of the first high frequency power supply 32. The second matcher 35 matches a load impedance with an internal (or output) impedance of the second high-frequency power supply 34. The first matcher 33 functions to make the internal impedance of the first high frequency power supply 32 and the load impedance look uniform when plasma is generated in the chamber 10. The second matcher 35 functions such that the internal impedance of the second high frequency power source 34 and the load impedance look uniform when plasma is generated in the chamber 10.

The gas shower head 25 is attached so as to close the opening at the top of the chamber 10 with a shield ring 40 covering the peripheral edge thereof. The gas shower head 25 may be electrically grounded as shown in fig. 15. Alternatively, a variable direct current power supply may be connected to apply a predetermined Direct Current (DC) voltage to the gas shower head 25.

The gas shower head 25 is formed with a gas inlet 45 for introducing gas. A diffusion chamber 50a in the center and a diffusion chamber 50b in the edge are provided in the gas shower head 25, and extend from the gas inlet 45. The gas output from the gas supply source 15 is supplied to the diffusion chambers 50a and 50b through the gas inlet 45, diffused in the diffusion chambers 50a and 50b, and then introduced into the mounting table 20 through the plurality of gas supply holes 55.

An exhaust port 60 is formed in the bottom surface of the chamber 10, and the inside of the chamber 10 is exhausted by an exhaust device 65 connected to the exhaust port 60. This can maintain the chamber 10 at a predetermined vacuum level. A gate valve G is provided on a sidewall of the chamber 10. The gate valve G opens and closes the inlet/outlet port when the wafer W is transferred into and out of the chamber 10.

The plasma processing apparatus 1 is provided with a control unit 100 for controlling the operation of the entire apparatus. The control Unit 100 includes a CPU (Central Processing Unit) 105, a ROM (Read Only Memory) 110, and a RAM (Random Access Memory) 115. The CPU105 executes desired processing such as plasma processing described later in accordance with various recipes stored in the above-described storage area. The recipe describes process time, pressure (gas exhaust), high-frequency power or voltage, various gas flow rates, chamber internal temperature (upper electrode temperature, chamber sidewall temperature, wafer W temperature (electrostatic chuck temperature), etc.), temperature of the refrigerant output from the cooling unit 107, and the like, which are control information of the apparatus according to the process conditions. The program and the recipe indicating the processing conditions may be stored in a hard disk or a semiconductor memory. The recipe may be stored in a predetermined position set in a portable computer-readable storage medium such as a CD (Compact Disc) -ROM (Compact Disc), a DVD (Digital Versatile Disc), or the like, and read.

For example, the control unit 100 controls each unit of the plasma processing apparatus 1 to execute the above-described plasma processing method.

The Plasma processing according to the above embodiment is applicable not only to a Capacitively Coupled Plasma (CCP) processing apparatus but also to other Plasma processing apparatuses. Other Plasma processing apparatuses may be, for example, an Inductively Coupled Plasma (ICP) processing apparatus, a Plasma processing apparatus using a radial line slot antenna, a Helicon Wave (HWP) processing apparatus, and an Electron Cyclotron Resonance (ECR) processing apparatus.

The present embodiments are to be considered in all respects as illustrative and not restrictive. The above-described embodiments may be omitted, replaced, or changed in various ways without departing from the scope and spirit of the appended claims.

25页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:制造半导体器件的方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类