在图案化中的氧化锡心轴

文档序号:1863461 发布日期:2021-11-19 浏览:25次 >En<

阅读说明:本技术 在图案化中的氧化锡心轴 (Tin oxide mandrels in patterning ) 是由 游正义 萨曼莎·西亚姆华·坦 徐相俊 鲍里斯·沃洛斯基 希瓦南达·克里希南·卡纳卡萨巴保蒂 于 2019-01-29 设计创作,主要内容包括:氧化锡膜在半导体器件制造中用作心轴。在一个实现方式中,该处理开始于提供一种衬底,该衬底具有存在于暴露的蚀刻停止层上的多个突起的氧化锡特征(心轴)。接下来,在心轴的水平表面和侧壁上都形成保形的间隔材料层。然后从水平表面去除间隔材料,从而暴露出心轴的氧化锡材料,而没有完全去除存在于心轴侧壁上的间隔材料(例如,留下初始在侧壁上的高度的至少50%,例如至少90%)。接下来,选择性地去除心轴(例如,使用基于氢的蚀刻化学物质),同时保留存在于心轴侧壁上的间隔材料。所得的间隔件可用于图案化蚀刻停止层和下伏层。(Tin oxide films are used as mandrels in semiconductor device fabrication. In one implementation, the process begins by providing a substrate having a plurality of raised tin oxide features (mandrels) present on an exposed etch stop layer. Next, a conformal layer of spacer material is formed on both the horizontal surfaces and sidewalls of the mandrels. The spacer material is then removed from the horizontal surfaces, thereby exposing the tin oxide material of the mandrels, without completely removing the spacer material present on the sidewalls of the mandrels (e.g., leaving at least 50%, such as at least 90%, of the height initially on the sidewalls). Next, the mandrels are selectively removed (e.g., using a hydrogen-based etch chemistry) while retaining the spacer material present on the mandrel sidewalls. The resulting spacers can be used to pattern etch stop layers and underlying layers.)

在图案化中的氧化锡心轴

本申请是申请号为201980010832.2、申请日为2019年1月29日、发明名称为“在图案化中的氧化锡心轴”的申请的分案申请。

相关申请的交叉引用

本申请要求2018年4月10日提交的名称为“Tin Oxide Mandrels in Patterning”的、Yu等人作为发明人的美国临时专利申请No.62/655,678,和2018年1月30日提交的名称为“Spacer formation Using Tin Oxide Mandrels”的、Tan等人作为发明人的美国临时专利申请No.62/624,066的权益,其全部内容通过引用并入本文。

技术领域

本发明涉及半导体器件制造的方法。具体地,本发明的实施方案涉及在半导体加工中使用氧化锡膜的方法。

背景技术

在集成电路(IC)制造中,沉积和蚀刻技术用于形成材料图案,例如用于形成嵌入介电层中的金属线。一些图案化方案涉及使用能够精确图案化和形成小尺寸特征的间隔件。间隔件形成在衬底上,使得它们以限定(通常由先前的图案化确定)的距离分开,并且用作掩模以用于下伏层的图案化。选择间隔件和周围层的材料以具有适当的蚀刻选择性,这将使得能够形成间隔件和下伏层图案化。在图案化完成之后,间隔件通过蚀刻去除,并且间隔件不是最终制造的半导体器件的一部分。

间隔件用于各种应用中的图案化,包括形成动态随机存取存储器(DRAM)、图案化鳍式场效应晶体管(finFET)中的鳍以及后端线(BEOL)处理。

可以使用图案化处理在半导体衬底上形成间隔件,该图案化处理涉及心轴-较大的突起特征,该突起特征用作间隔件的支架,随后通过蚀刻方法将其选择性去除,从而将间隔件留在衬底上。

这里提供的背景描述是为了总体呈现本公开的背景的目的。在此

背景技术

部分以及在提交申请时不能确定为现有技术的描述的各方面中描述的范围内的当前指定的发明人的工作既不明确也不暗示地承认是针对本公开的现有技术。

发明内容

氧化锡在半导体衬底的图案化中用作心轴材料。本文提供的图案化方法可以实现对间隔件和心轴几何形状的高度控制以及实现高效率。

在一方面,提供了一种使用氧化锡心轴处理半导体衬底的方法。在一些实施方案中,该方法包括:(a)提供半导体衬底,所述半导体衬底具有存在于蚀刻停止层(ESL)上的多个氧化锡突起特征(心轴);(b)在所述氧化锡突起特征的水平表面和侧壁两者上都形成间隔材料层;以及(c)从所述氧化锡突起特征的所述水平表面上去除所述间隔材料以暴露下伏的氧化锡,而没有完全去除在所述氧化锡突起特征的所述侧壁上的所述间隔材料。在一些实施方案中,该处理还包括以下操作:去除氧化锡突起特征而没有完全去除先前存在于所述氧化锡突起特征的所述侧壁上的所述间隔材料,从而形成存在于所述蚀刻停止层上方的多个间隔件。在一些实施方案中,在去除所述氧化锡突起特征之后,所述方法接着在存在所述多个间隔件的情况下蚀刻所述蚀刻停止层。

在一些实施方案中,所述间隔材料是含硅材料(例如,氧化硅、氮化硅、碳化硅、SiOC、SiNO、SiCNO或SiCN)或二氧化钛。在一实现方式中,所述间隔材料是二氧化钛,并且所述蚀刻停止层包含含硅材料。在其他实施方案中,所述间隔材料是氧化硅,并且所述蚀刻停止层包含钨。

操作(c)中使用的间隔件蚀刻化学物质可以根据间隔材料的化学性质而变化。在一些实施方案中,所述间隔材料是含硅材料,并且在(c)中从水平表面去除所述间隔材料包括使用基于氟的蚀刻化学物质来蚀刻所述间隔材料。在其他实施方案中,所述间隔材料是二氧化钛,并且,在(c)中从水平表面去除所述间隔材料包括使用基于氯的蚀刻化学物质来蚀刻所述间隔材料。

在一些实施方案中,在已经从水平表面去除间隔材料之后并且已经暴露了氧化锡之后,该处理通过以下操作继续:(d)去除氧化锡突起特征(心轴),而没有完全去除先前存在于所述氧化锡突起特征的所述侧壁上的所述间隔材料,从而形成存在于所述蚀刻停止层上方的多个间隔件,其中使用基于氢的蚀刻化学物质去除所述氧化锡突起特征,从而导致形成氢化锡。在一些实现方式中,去除所述氧化锡突起特征包括:使所述半导体衬底与选自由H2、HBr、NH3、H2O、烃及其组合组成的组的等离子体活化的含氢反应物接触。

可以使用几种不同的处理流程来形成在操作(a)中提供的具有多个氧化锡突起特征的半导体衬底。在一种实现方式中,在半导体衬底上形成多个氧化锡突起特征是通过图案化平坦的(覆盖)氧化锡层来实现的。在另一个实施方案中,形成多个氧化锡突起特征包括∶(i)在半导体衬底上形成多个第一心轴(例如,光致抗蚀剂或其他含碳心轴);(ii)在所述多个第一心轴上保形地沉积氧化锡层;以及(iii)从水平表面蚀刻所沉积的所述氧化锡层并去除所述多个第一心轴以在(a)中提供的所述半导体衬底上形成所述多个氧化锡突起特征,其中所形成的所述多个氧化锡突起特征在后续操作(b)-(c)中充当第二心轴。

在一些实现方式中,第一心轴包含含碳材料,例如光致抗蚀剂、类金刚石碳或无定形碳,并且操作(iii)包括使用基于氢的蚀刻化学物质(例如,使用H2或碳氢化合物,例如CH4或C2H2作为含氢气体)或基于氯的蚀刻化学物质(例如,使用Cl2和/或BCl3)从水平表面蚀刻沉积的氧化锡层,并且然后使用基于氧的蚀刻化学物质去除多个第一心轴。

在一些实施方案中,所提供的方法与光刻处理结合使用。例如,在一些实现方式中,该处理包括将光致抗蚀剂施加到半导体衬底上,将光致抗蚀剂暴露于光,图案化光致抗蚀剂并将图案转移到衬底上以及选择性地从衬底去除光致抗蚀剂。在另一方面,提供了一种用于处理半导体衬底的系统。在一些实施方案中,该系统包括:一个或多个沉积室;一或多个蚀刻室;以及包括用于导致一系列操作的程序指令的系统控制器。在一些实施方案中,程序指令用于:在包括多个氧化锡突起特征的半导体衬底上,导致间隔材料沉积在所述氧化锡突起特征的所述水平表面和所述侧壁两者上;以及导致从所述氧化锡突起特征的所述水平表面上去除所述间隔材料以暴露出下伏的氧化锡,而没有导致在所述氧化锡突起特征的所述侧壁上的所述间隔材料完全去除。所述系统控制器还可以包括用于以下操作的程序指令:导致去除所述氧化锡突起特征而没有导致完全去除先前存在于所述氧化锡突起特征的所述侧壁上的所述间隔材料,从而在所述半导体衬底上形成多个间隔件。所述系统控制器还可以包括用于以下操作的程序指令:通过导致在具有多个第一心轴的半导体衬底上沉积保形的氧化锡层,然后通过从水平表面除去所述氧化锡材料并且通过去除所述第一心轴,而导致形成包括所述多个氧化锡突起特征的所述半导体衬底。

在另一方面,提供了一种蚀刻设备。在一些实施方案中,蚀刻设备包括:具有用于处理气体的入口的蚀刻处理室;衬底保持器,其被配置成用于将半导体衬底保持在所述蚀刻处理室中;以及包括用于导致一个或多个操作的程序指令的处理控制器。在一些实施方案中,处理控制器包括用于以下操作的程序指令:导致蚀刻涂覆在所述半导体衬底上的多个氧化锡突起特征的间隔材料层,从而从所述半导体衬底的所述水平表面上完全去除所述间隔材料,而没有完全去除在所述多个氧化锡突起特征的所述侧壁上的所述间隔材料。在一些实施方案中,用于导致间隔材料的蚀刻的程序指令包括用于导致使用基于氟的蚀刻化学物质来蚀刻所述间隔材料层的程序指令,其中,所述间隔材料是含硅材料。

在另一方面,提供了一种设备,其中该设备包括处理室和控制器,该控制器具有用于导致本文提供的方法中的任何方法的程序指令。

在另一方面,提供了一种部分制造的半导体器件,其中该部分制造的半导体器件包括涂覆有保形间隔材料层的多个氧化锡突起特征。

在附图和以下描述中阐述了本说明书中描述的主题的实现方案的这些和其他方面。

附图说明

图1A-1F根据本文提供的实施方案示出了正在进行涉及间隔件形成的处理的半导体衬底的示意性横截面图。

图2是根据本文提供的一实施方案的方法的处理流程图。

图3是根据本文提供的实施方案的形成氧化锡心轴的方法的处理流程图。

图4A-4E示出了根据本文提供的一实施方案的正在进行处理以形成氧化锡心轴的半导体衬底的示意性截面图。

图5A-5C示出了根据本文提供的一实施方案的正在进行处理以形成氧化锡心轴的半导体衬底的示意性截面图。

图6是根据本文提供的实施方案的形成氧化锡心轴的方法的处理流程图。

图7A至图7G示出了根据本文提供的实施方案的正在进行处理的半导体衬底的示意性截面图,其示出了在自对准四重图案化(SAQP)处理中使用氧化锡间隔件作为第二心轴。

图8A至图8F示出了根据本文提供的实施方案的正在进行处理的半导体衬底的示意性等距视图,其示出了在SAQP处理中使用氧化锡间隔件作为第二心轴。

图9是适用于使用本文提供的蚀刻化学物质蚀刻氧化锡的设备的示意图。

图10示出了根据本文提供的一实施方案的多站式处理系统的示意图。

具体实施方式

提供了在半导体器件制造中使用氧化锡膜的方法。所述方法利用多种具有可调的蚀刻速率和选择性的蚀刻处理,其使得能将氧化锡膜集成到采用多种材料的处理方案中,所述多种材料例如含硅化合物(例如,氧化硅(SiO2)、碳化硅(SiC)、氮化硅(SiN)、碳氧化硅(SiOC)、氮氧化硅(SiNO)、碳氮氧化硅(SiCNO)和碳氮化硅(SiCN))、元素硅(Si),碳(包括无定形碳和类金刚石碳)、光致抗蚀剂、含碳化合物(例如,有机聚合物、金属碳化物、含钨碳)、金属(例如钨)、金属氧化物(例如,氧化钛、氧化铪、氧化锆、氧化钽)和金属氮化物(例如,氮化钽(TaN)和氮化钛(TiN))。在一些实施方案中,在这些材料中的任何材料存在下蚀刻氧化锡,其中蚀刻选择比为至少10∶1,例如至少20∶1。在一些实施方案中,在氧化锡存在下蚀刻这些材料中的任何材料,其中蚀刻选择比为至少10∶1,例如至少20∶1。选择比是指材料的蚀刻速率的比率。例如,如果对于特定蚀刻化学物质,氧化硅的蚀刻速率比氧化锡的蚀刻速率之比至少为10∶1,则在使用该蚀刻化学物质的情况下,相对于氧化锡,以至少为10∶1的选择比蚀刻硅氧化物。

在所提供的实施方案中,氧化锡用于图案化处理,其中氧化锡膜用作间隔件形成的心轴。例如,氧化锡可以是自对准双图案化(SADP)或自对准四重图案化(SAQP)中的心轴。结合选择性蚀刻处理,氧化锡满足这些应用所施加的严格的关键尺寸(CD)/轮廓和选择性要求。蚀刻处理可以在允许等离子体蚀刻的各种工具上实施,例如在Lam ResearchCorporation提供的和FlexTM蚀刻工具上实施。

可以使用基于氢的蚀刻,针对各种材料选择性地蚀刻氧化锡,从而将氧化锡转化为挥发性氢化锡产物(例如,四氢化锡)。这里使用的术语“氢化锡”包括多种锡氢化物(具有锡-氢键合的化合物),并且不仅限于四氢化锡(SnH4)。类似“氯化锡”和“氟化硅”之类的术语类似地可包括多种氯化物和氟化物。与许多其它金属的氢化物不同,四氢化锡具有低沸点,因此可以通过清扫和/或抽排容易地从处理室中去除,从而使基于氢的蚀刻成为选择性氧化锡蚀刻的特别有吸引力的处理。

如本文所使用的,氧化锡是指包含锡(Sn)和氧(O)的材料,并且可任选地包括氢。如本文所使用的,氧化锡还可包含少量其他元素,例如碳和氮(例如,SnOxNy),其中其他元素的总量为10原子%或更低(其中氢不包括在含量的计算中)。例如,ALD沉积的氧化锡可含有约0.5-5原子%的碳。例如,可以通过ALD、PECVD或PVD沉积氧化锡。氧化锡的化学计量通常可以变化。在一些实施方案中,锡与氧的原子比为约1∶2(SnO2)。应理解,偏离1:2的锡比氧的化学计量的小偏差在SnO2中可能存在,并且是在SnO2结构的范围内。例如,在一些SnO2的示例中,O比Sn的原子比在约2.0-2.3之间。如本文所使用的,O比Sn的比率为约1.5-2.5的氧化锡在SnO2材料的范围内。本文所述的氧化锡材料不同于氧化铟锡材料和其他混合金属氧化物。

应理解,除非另有说明,否则在本文所使用的其他化学化合物中,化学计量可以变化。例如,诸如SiN和HfO之类的化学式指定存在但不是化学计量的元素。此外,应理解,本文所述的材料可包含氢(即使未在化学式中指明)和少量未在化学名称中明确列出的掺杂剂(例如小于10原子%的掺杂剂)。

本文使用的术语“半导体衬底”是指在半导体器件制造的任何阶段的在结构内的任何位置包含半导体材料的衬底。应该理解,半导体衬底中的半导体材料不需要暴露。具有覆盖半导体材料的多层其他材料(例如,电介质)的半导体晶片是半导体衬底的示例。以下详细描述假设所公开的实现方式在晶片上实现。然而,所公开的实现方式不限于此。工件可以具有各种形状、尺寸和材料。除了半导体晶片之外,可以利用所公开的实现方式的其他工件包括各种制品,例如印刷电路板等。

除非另有说明,否则术语“约”在与数值结合使用时是指在与所述数值相差在5%以内的范围。

在一些实施方案中,提供的选择性蚀刻化学物质用于去除衬底上的某些材料或特征而不去除其他材料或特征。如本文所使用的,当去除至少90%(例如100%)(参考竖直方向上的厚度)的材料或特征时,蚀刻化学物质就“去除”了所述材料或特征。如本文所使用的,术语“不去除”是指在蚀刻之后保留至少50%的材料或特征(例如至少80%),其中%是指竖直方向上的厚度。

在一些实施方案中,所提供的方法用于从突起特征的水平表面去除材料而不去除存在于突起特征的侧壁处的材料。应当理解,如本文所使用的水平表面包括相对于水平面具有局部偏差的表面,例如突起特征的顶部上的凸形帽。

已经开发了多种蚀刻化学物质,其用于在其他材料存在下选择性蚀刻氧化锡,以及在氧化锡存在下选择性蚀刻其他材料。在另一种材料存在下选择性蚀刻氧化锡称为氧化锡蚀刻,其中氧化锡的蚀刻速率比另一种材料的蚀刻速率的比率大于1,并且其中另一种材料与氧化锡在蚀刻处理的任何时候暴露于相同的蚀刻化学物质。例如,其他材料可以在蚀刻开始时暴露,或者可以在蚀刻过程中暴露。在另一种材料存在下选择性蚀刻氧化锡的蚀刻选择比是指对于给定的化学物质,氧化锡的蚀刻速率比另一种材料的蚀刻速率的比率。例如,可以在含硅化合物的存在下使用基于氢的蚀刻化学物质选择性地蚀刻氧化锡,其中蚀刻选择比大于50。

类似地,在氧化锡存在下对材料的选择性蚀刻是指对该材料的这种蚀刻,其中该材料的蚀刻速率比氧化锡的蚀刻速率的比率大于1,并且其中氧化锡在蚀刻处理的任何时候与被蚀刻的材料暴露于相同的蚀刻化学物质。例如,氧化锡在蚀刻开始时可以暴露,或者可以在蚀刻过程中暴露。在氧化锡存在下对材料的选择性蚀刻的蚀刻选择比是指对于给定的化学物质,该材料的蚀刻速率比氧化锡的蚀刻速率的比率。例如,可以在氧化锡存在下使用基于氧的蚀刻化学物质选择性地蚀刻碳,其中蚀刻选择比大于50。

在一些实施方案中,提供了用于去除氧化锡心轴的方法。首先,提供一种半导体衬底,其中该半导体衬底包括多个氧化锡突起特征(心轴)和间隔材料层,其中间隔材料存在于氧化锡突起特征的侧壁处。接下来,使用本文所述的选择性氧化锡蚀刻化学物质中的一种在第二材料存在下选择性地蚀刻氧化锡。可以通过这些选择性蚀刻来去除氧化锡突起特征,而无需完全去除先前存在于侧壁上的间隔材料,从而形成多个间隔件。

在一些实施方案中,提供了用于从衬底上的水平表面去除间隔材料的方法,其中,间隔材料涂覆氧化锡心轴。首先,提供半导体衬底,其中该半导体衬底包括多个氧化锡突起特征(心轴)和存在于该氧化锡心轴的水平表面和侧壁上的保形间隔材料层。接下来,从水平表面去除间隔材料,而没有完全去除在侧壁上的间隔材料,并且暴露出氧化锡。该步骤可以通过本文所述的允许在存在氧化锡的情况下进行选择性间隔材料蚀刻的任何选择性蚀刻化学物质来执行。

在一些实施方案中,提供了用于在多个氧化锡心轴上沉积保形间隔材料层的方法。首先,提供半导体衬底,其中该半导体衬底包括多个氧化锡心轴。接下来,将间隔材料(例如,含硅材料)沉积在衬底上,使得其在侧壁和水平表面上均涂覆心轴。

基于氢的蚀刻。在一些实施方案中,使用基于氢的蚀刻执行选择性氧化锡蚀刻。基于氢的蚀刻涉及将氧化锡暴露于含氢反应物(通常在该反应物的等离子体活化的情况下),使得其将氧化锡转化为挥发性氢化锡。SnH4的沸点为-52℃,可以很容易地从处理室中去除。含氢反应物的示例包括H2、HBr、NH3、H2O和烃(如CH4、C2H2等)。也可以使用含氢反应物的混合物。基于氢的蚀刻涉及在含有含氢反应物和任选的惰性气体的处理气体中形成等离子体,并使衬底与形成的等离子体接触。惰性气体的示例包括氮气(N2)、氦气(He)、氩气(Ar)和氖气(Ne)、以及氙气(Xe)。在一些实施方案中,H2是优选的含氢反应物,并且在一些实施方案中,优选在按体积计含有至少50%,例如至少80%H2的气体中形成等离子体。在其他实施方案中,HBr被用作含氢反应物。例如,可以用在基本上由HBr和惰性气体组成的处理气体中(例如在HBr、N2和氩的混合物中)形成的等离子体选择性地蚀刻氧化锡。基于氢的蚀刻通常使用不包含含氧和含氟物质的处理气体进行。在一些实施方案中,处理气体基本上由一种或多种含氢反应物和任选的惰性气体组成。

基于氢的蚀刻可以在以下材料的存在下选择性地去除氧化锡:含硅化合物,例如SiO2、SiN、SiC、SiOC、SiCN、SiON、SiCNO、旋涂玻璃;金属氧化物,如氧化钛、氧化钨和氧化锆;金属氮化物,如氮化钛和氮化钽;金属,如钨;以及含碳有机材料(例如光致抗蚀剂和有机聚合物)。此外,基于氢的蚀刻可用于在氧化硅覆盖的硅存在下选择性地蚀刻氧化锡。当硅暴露于大气时,氧化硅通常在硅表面上形成。基于氢的蚀刻也可用于在元素硅(例如,非晶硅)和碳的存在下选择性地蚀刻氧化锡。此外,基于氢的蚀刻可用于在金属碳化物和含有金属和碳的材料存在下选择性地蚀刻氧化锡。例如,可以在钨碳材料(也称为钨掺杂的碳)的存在下用基于氢的蚀刻选择性地蚀刻氧化锡。在一些实施方案中,钨碳材料包括介于约20-60原子%之间的钨。

在一些实施方案中,提供了在这些材料中的任何材料的存在下去除氧化锡心轴的方法。首先,提供半导体衬底,其中半导体衬底包括多个暴露的氧化锡心轴和这些材料中的任何材料的层(例如,这些材料可以是存在于氧化锡心轴的侧壁上的间隔材料,或者这些材料可以是ESL材料)。接下来,在这些材料的存在下选择性地蚀刻氧化锡。例如,可以通过基于氢的蚀刻来去除氧化锡心轴,而无需完全去除存在于氧化锡心轴的侧壁上的间隔材料并且无需完全去除ESL材料。这些材料可以在该蚀刻之前被暴露,或者可以在氧化锡蚀刻的过程中被暴露。

在一些实施方案中,基于氢的蚀刻的蚀刻选择比大于10,例如大于30,例如,大于50,或大于80。蚀刻选择比是指对于选定的处理条件,氧化锡的蚀刻速率比其他材料的蚀刻速率的比率。在一些示例中,使用H2等离子体,相对于SiO2,蚀刻氧化锡实现了100的蚀刻选择比。

利用氢等离子体(指在含氢反应物中形成的等离子体)的氧化锡蚀刻方法可以在各种处理条件下在各种设备中实现。在一实现方式中,所述方法包括:将具有暴露的氧化锡层的半导体衬底提供到蚀刻室,并使衬底与在包含H2(或另一种含氢气体)和任选地载气(如氦气或其他惰性气体)的处理气体中形成的等离子体接触。术语“蚀刻室”或“蚀刻设备”是指被配置用于蚀刻的室和设备。在一些实施方案中,“蚀刻室”或“蚀刻设备”专门被配置用于蚀刻操作。在其他实施方案中,“蚀刻室”或“蚀刻设备”可以被配置为除了用于蚀刻之外还执行其他操作,例如沉积。例如,在一些实施方案中,蚀刻室也可用于ALD沉积。

在一些实施方案中,用于氢等离子体蚀刻的等离子体在容纳半导体衬底的同一处理室中产生。在其他实施方案中,等离子体远程产生,并通过处理室中的一个或多个入口被引入容纳衬底的处理室。

控制蚀刻以将氧化锡转化为挥发性氢化锡。在一实施方案中,处理气体中的H2含量按体积为至少50%,例如至少80%(可以高达并且包括100%)。在一些实施方案中,处理气体可进一步包括烃,例如CH4。在一些实施方案中,处理气体还包括Cl2。例如,处理气体可基本上由H2和惰性气体(例如He)组成,或者处理气体可基本上由H2、惰性气体和烃(例如,CH4)组成。在衬底附近测量的小于约100℃的温度下进行蚀刻。有利地,蚀刻反应仅产生挥发性材料,例如SnH4,其可通过抽排和/或清扫容易地从蚀刻处理室去除。蚀刻处理温度优选地选择为小于约100℃,因为较高的温度可导致形成的SnH4分解并形成会污染处理室和衬底的颗粒。选择处理气体的组成和处理条件,以减少或消除蚀刻期间颗粒的形成。值得注意的是,蚀刻反应不需要任何显著的溅射组分,并且可以在衬底处没有外部偏置的情况下并且在不存在重离子(例如,氩离子)的情况下进行。减少溅射组分可有利于提高相对于衬底上的第二材料的蚀刻选择性。因此,在一些实施方案中,蚀刻被执行而不向衬底提供外部偏置和/或涉及使用氦(轻气体)作为载气,以减少溅射。

可以使用各种频率(低和高)产生用于氢等离子体蚀刻的等离子体。合适频率的示例包括400KHz、2MHz、13.56MHz、27MHz或2.45GHz。在一些实施方案中,用于等离子体产生的功率范围可以在约50W至1000W之间的范围内,对应于约0.0018W/cm2至0.36W/cm2之间的功率密度。衬底处的偏置是可选的,偏置功率可以在约0到500W之间的范围内。

每个喷头(用于处理一个300mm晶片)的合适气体速率是:

i.H2:25至750sccm;

ii.Cl2:0至500sccm(例如,5–200sccm);

iii.He:0至500sccm(例如,5–100sccm);以及

iv.CH4:0至500sccm(例如,5–100sccm)。

在一些实施方案中,蚀刻处理可以在约1至175毫托的压强下进行。

在一些具体实施方案中,使用高频产生(例如,13.56MHz或27MHz)来产生等离子体,并且使用对应于0.07W/cm2和0.18W/cm2之间的功率密度的在约200至500W之间的等离子体功率来提供等离子体。衬底处的偏置功率在约0和200W之间。每个喷头(用于处理一个300mm晶片)的合适气体速率为:

i.H2:100至300sccm;

ii.Cl2:0至200sccm(例如,5–100sccm);

iii.He:0至100sccm(例如,5–50sccm);

iv.CH4:0至100sccm(例如,5–50sccm)。

在这些实施方案中,在约1至30毫托之间的压强下执行蚀刻处理。

通过在处理气体中使用含碳反应物可以显著提高基于氢的蚀刻的选择性,所述含碳反应物在蚀刻期间在衬底表面上形成含碳聚合物(例如CHx聚合物)。在一些实施方案中,该实施方案中使用的处理气体包含H2和烃(例如甲烷(CH4))。处理气体通常还包含惰性气体。在一些实施方案中,H2比烃的比率优选为至少5,例如至少10。在一些实施方案中,H2比烃的体积比为介于约5-500之间,例如介于约10-300之间。在一些实施方案中,在另一种材料(或多种材料)存在下对氧化锡的选择性蚀刻包括将衬底暴露于在包含H2和烃(例如CH4)的处理气体中形成的等离子体。在一种实现方式中,H2以约100-500sccm之间的速率提供,并且烃以约1-20sccm之间(例如约5-10sccm之间)的速率提供。该处理可以使用对应于介于约0.14-1.3W/cm2(例如0.28-0.71W/cm2)之间的功率密度的介于约100-1000W(例如约200-500W(对于单个300mm晶片))之间的等离子体功率进行。在一些实施方案中,使用介于约50-500Vb之间(例如介于约100-200Vb之间)的衬底偏压来进行蚀刻。该处理优选在低于约100℃的温度下进行。在一个具体示例中,提供以下气体:100sccm的H2;5sccm的CH4,以及100sccm的氦气。使用300W的功率在处理气体中形成等离子体,并且使用在25%占空比下的100Vb的衬底偏压。该处理在30℃和5毫托的压强下进行。如本文所述在衬底上形成含碳聚合物可提高氧化锡相对于本文所列任何材料的蚀刻选择比。当在光致抗蚀剂、碳、含碳材料和硅(Si)存在下蚀刻氧化锡时,这种效果特别有用。例如,当在光致抗蚀剂存在下进行蚀刻时,蚀刻选择比可以大于100,并且在一些情况下几乎是无限的。使用这种高选择比蚀刻使得能使用较小厚度的光致抗蚀剂以降低光刻暴露剂量和/或防止由于在小的精细节距下的高深宽比导致的光致抗蚀剂线塌陷。在所述方法中,CHx聚合物保护光致抗蚀剂不被蚀刻。此外,该蚀刻可用于改善光致抗蚀剂层的几何结构。在一些实施方案中,在存在设置在氧化锡层上方的光致抗蚀剂的情况下,并且在存在位于氧化锡下面的材料的情况下,使用该蚀刻选择性地蚀刻半导体衬底上的氧化锡,其中,相对于光致抗蚀剂和氧化锡下面的材料,蚀刻选择比为至少10。在一些实施方案中,氧化锡下面的材料包括硅(例如,非晶硅)、含硅化合物(例如,SiO2、SiN、SiC、SiON、SiOC)、碳(例如,无定形碳)以及含碳化合物(例如碳钨)中的一种或多种。

在一些实施方案中,HBr在基于氢的蚀刻中用作含氢反应物。在一种实现方式中,蚀刻方法包括使HBr以速率100-50sccm流动,以及使惰性气体(例如氦气)以速率100-500sccm流动,并使用对应于0.14-1.42W/cm2的功率密度的100-1000W(每300mm晶片)的RF功率在该处理气体中形成等离子体。可以在有或没有衬底偏压的情况下进行该蚀刻。例如,衬底偏压可以在0-200Vb之间,例如在50-200Vb之间。该处理可在低于100℃的温度和5-50毫托的压强下进行。

基于氯的蚀刻。在一些实施方案中,使用基于氯的蚀刻执行选择性氧化锡蚀刻。基于氯的蚀刻涉及将氧化锡暴露于含氯反应物(通常在反应物的等离子体活化的情况下),使得其将氧化锡转化为氯化锡。SnCl4具有114℃的沸点,可以从处理室中去除。合适的含氯反应物的示例包括Cl2和BCl3。在一实施方案中使用Cl2和BCl3的混合物。在一种实现方式中,基于氯的蚀刻包括在含有含氯反应物和任选地含有惰性气体的处理气体中形成等离子体,并使衬底与形成的等离子体接触。基于氯的蚀刻可以在以下材料的存在下选择性地去除氧化锡:含硅化合物(例如SiO2、SiN、SiC、SiOC、SiCN、SiON、SiCNO、旋涂玻璃)、碳和光致抗蚀剂,但是对于含硅材料的选择性通常低于使用基于氢的蚀刻。在一些实施方案中,提供半导体衬底,其中半导体衬底包括暴露的氧化锡层(例如氧化锡心轴)和这些材料中的任何材料(例如作为在心轴侧壁上的间隔材料或者作为ESL材料)层。接下来,使用基于氯的蚀刻在这些材料的存在下选择性地蚀刻氧化锡。这些材料可以在该蚀刻之前暴露,或者可以在氧化锡蚀刻过程中暴露。在一实现方案中,使用BCl3/Cl2蚀刻在这些材料中的任何材料的存在下选择性地蚀刻氧化锡。在一实现方式中,蚀刻方法包括使BCl3以速率5-100sccm流动,使Cl2以速率50-500sccm流动以及使惰性气体(例如氦气)以速率100-500sccm流动,并且使用相当于0.14-1.42W/cm2的功率密度的100-1000W(每300mm晶片)的RF功率在该处理气体中形成等离子体。可以在有或没有衬底偏置的情况下进行该蚀刻。例如,衬底偏压可以在0-100Vb之间,例如在10-100Vb之间。该处理可在低于100℃的温度和5-50毫托的压强下进行。

在一些实施方案中,基于氯的蚀刻用于在氧化锡存在下选择性地蚀刻某些金属氧化物。例如,可以使用基于氯的蚀刻在氧化锡的存在下选择性地蚀刻氧化钛。在一些实施方案中,提供半导体衬底,其中半导体衬底包括氧化钛暴露层和氧化锡层。接下来,使用基于氯的蚀刻化学物质在氧化锡的存在下选择性地蚀刻氧化钛。氧化锡可以在该蚀刻之前暴露,或者可以在氧化锡蚀刻的过程中暴露。例如,氧化钛可以是涂覆氧化锡心轴的间隔材料。可以使用基于氯的蚀刻从水平表面去除二氧化钛间隔材料,而没有完全去除在氧化锡心轴的侧壁上的二氧化钛。

基于碳氟化合物的蚀刻。在一些实施方案中,使用基于碳氟化合物的蚀刻在氧化锡的存在下选择性地蚀刻含硅化合物,例如SiO2、SiN、SiC、SiOC、SiCN、SiON、SiCNO、旋涂玻璃。基于碳氟化合物的蚀刻包括将含硅化合物暴露于等离子体活化的碳氟化合物(CxFy),使得它们被转化为含有Si-F键的挥发性化合物。合适的碳氟化合物反应物的示例包括CF4、C2F6等。在一种实现方式中,基于碳氟化合物的蚀刻涉及在含有碳氟化合物和任选的惰性气体的处理气体中形成等离子体,并使衬底与形成的等离子体接触。碳氟化合物蚀刻可以在氧化锡存在下选择性地去除含硅化合物。在一些实施方案中,提供了半导体衬底,其中半导体衬底包括含硅化合物(例如含硅间隔材料或ESL材料)暴露层和氧化锡层(例如氧化锡心轴)。接下来,使衬底与碳氟化合物等离子体接触,并在氧化锡的存在下选择性地蚀刻含硅化合物。在一个实施方案中,衬底包括氧化锡心轴,在该心轴的水平表面上和心轴侧壁上均涂覆有含硅间隔材料(例如,氧化硅)。含硅的间隔材料被选择性地蚀刻并且通过基于碳氟化合物的蚀刻化学物质从水平表面去除,使得基于硅的间隔材料保留在心轴的侧壁处。氧化锡可以在该蚀刻之前暴露,或者可以在蚀刻过程中暴露。基于碳氟化合物的蚀刻是一种基于氟的蚀刻。

基于氟的蚀刻。在一些实施方案中,使用基于氟的蚀刻在氧化锡的存在下选择性地蚀刻元素硅和含硅化合物,诸如SiO2、SiN、SiC、SiOC、SiCN、SiON、SiCNO和旋涂玻璃。基于氟的蚀刻包括将含硅材料暴露在含氟试剂(例如,NF3、SF6或碳氟化合物),该含氟试剂在一些实施方案中是等离子体活化的,并且将含硅材料转化为挥发性氟化硅。然而,氧化锡不会形成挥发性氟化物,并且因此基本上不会被这种化学物质蚀刻。除了含硅材料之外,还可以使用基于氟的蚀刻在氧化锡的存在下选择性地蚀刻氧化钛、钨和钨碳。在一实现方式中,基于氟的蚀刻涉及在含有含氟反应物(例如,NF3)和任选的惰性气体的处理气体中形成等离子体,并使衬底与形成的等离子体接触。基于氟的蚀刻可以在氧化锡存在下选择性地去除含硅化合物和元素硅。在一些实施方案中,提供半导体衬底,其中半导体衬底包括含硅化合物暴露层和/或元素硅(Si)层(例如作为在心轴侧壁上的间隔材料或者作为ESL材料)和氧化锡层(例如作为心轴材料)。接下来,使衬底与等离子体中的含氟反应物接触,并在氧化锡的存在下选择性地蚀刻含硅化合物和/或Si。氧化锡可以在该蚀刻之前暴露,或者可以在蚀刻过程中暴露。

在一实现方式中,使用基于氟的蚀刻在氧化锡的存在下选择性地蚀刻硅(Si)。在一实现方式中,该蚀刻方法包括使NF3以5-100sccm的速率流动,使Cl2以50-500sccm的速率流动,并使惰性气体(例如,氮气和/或氦气)以100-500sccm的速率流动,并且使用对应于0.14-1.4W/cm2的功率密度的100-1000W(每300mm晶片)的RF功率在该处理气体中形成等离子体。可以在有或没有衬底偏置的情况下进行该蚀刻。例如,衬底偏压可以在0-100Vb之间,例如在10-100Vb之间。该处理可在低于100℃的温度和10-300毫托的压强下进行。

在一些实施方案中,提供半导体衬底,其中半导体衬底包括氧化钛、钨和/或钨碳暴露层(例如作为间隔材料层)和氧化锡(例如作为心轴材料)层。接下来,使衬底与等离子体中的含氟反应物接触,并在氧化锡的存在下选择性地蚀刻氧化钛、钨和/或钨碳。氧化锡可以在该蚀刻之前暴露,或者可以在蚀刻过程中暴露。

在一实现方案中,使用基于氟的蚀刻在氧化锡的存在下选择性地蚀刻氧化钛。在一实现方式中,该蚀刻方法包括使CF4以5-500sccm的速率流动,使CHF3以0-500sccm(例如10-500sccm)的速率流动以及使惰性气体(例如氩气)以100-500sccm的速率流动,并且使用对应于0.71-1.4W/cm2的功率密度的500-1000W(每300mm晶片)的RF功率在该处理气体中形成等离子体。可以在有或没有衬底偏置的情况下进行该蚀刻。例如,衬底偏置可以在0-300Vb之间,例如在10-300Vb之间。该处理可在低于100℃的温度和5-50毫托的压强下进行。

在一实现方式中,使用基于氟的蚀刻在氧化锡的存在下选择性地蚀刻钨碳。在一实现方式中,该蚀刻方法包括使NF3以5-100sccm的速率流动,使Cl2以5-500sccm的速率流动,并使惰性气体(例如,氩气和/或氮气)以100-500sccm的速率流动,并且使用对应于0.14-1.4W/cm2的功率密度的100-1000W(每300mm晶片)的RF功率在该处理气体中形成等离子体。可以在有或没有衬底偏置的情况下进行该蚀刻。例如,衬底偏置可以在0-100Vb之间,例如在10-100Vb之间。该处理可在低于100℃的温度和10-100毫托的压强下进行。

基于氧的蚀刻。在一些实施方案中,使用基于氧的蚀刻在氧化锡的存在下选择性地蚀刻选自由元素碳、含碳化合物、聚合物和光致抗蚀剂组成的组中的一种或多种材料。基于氧的蚀刻包括将上面列出的材料中的任何材料暴露于含氧试剂(例如,O2、O3、SO2或CO2),该含氧试剂在一些实施方案中是等离子体活化的,并且将该材料转化为含有碳-氧键的挥发性产物(例如,CO或CO2)。在一实现方式中,基于氧的蚀刻包括在含有含氧反应物(例如,O2)和任选的惰性气体的处理气体中形成等离子体,并使衬底与形成的等离子体接触。在其他实施方案中,蚀刻可以在不存在等离子体的情况下进行。基于氧的蚀刻可以在氧化锡的存在下选择性地去除碳(例如,无定形碳或类金刚石碳)、含碳化合物和光致抗蚀剂。在一些实施方案中,提供半导体衬底,其中半导体衬底包括一种或多种材料(所述材料选自由碳、含碳化合物和光致抗蚀剂组成的组)暴露层(例如作为间隔材料)和氧化锡层(例如作为心轴材料)。接下来,使衬底与含氧反应物(任选地在等离子体中活化)接触,以将含碳材料转化为挥发性CO或CO2,从而在氧化锡存在下选择性地蚀刻它们。氧化锡可以在该蚀刻之前暴露,或者可以在蚀刻过程中暴露。例如,基于氧的蚀刻可用于从水平表面去除间隔材料(例如,诸如光致抗蚀剂之类的含碳材料),使得没有完全去除存在于氧化锡心轴侧壁上的间隔材料,并且使得通过蚀刻使氧化锡暴露。

沉积材料。本文提及的材料可以使用各种沉积方法沉积,例如使用CVD(其包括PECVD)、ALD(其包括PEALD)、PVD(例如,用于沉积金属和金属氧化物)、旋涂方法(例如,用于沉积碳和一些电介质)沉积。当需要保形沉积时,通常优选ALD方法。

可以使用各种方法(例如CVD、PECVD和ALD)沉积SiO2、SiC、SiN、SiOC、SiNO、SiCNO和SiCN材料。沉积可包括含硅前体和反应物(例如含氧反应物、含氮反应物或含碳反应物)之间的反应。各种含硅前体可用于沉积这些材料,这些材料包括硅烷、四烷基硅烷、三烷基硅烷、原硅酸四乙酯(TEOS)等。例如,可以使用TEOS或硅烷作为含硅前体来沉积SiO2

可以通过使用例如烃前体(例如CH4),通过CVD或PECVD方法沉积碳。在其他实现方案中,碳可以通过旋涂方法或通过PVD沉积。光致抗蚀剂和有机聚合物可以例如通过旋涂方法沉积。

氧化锡层通过任何合适的方法沉积,例如通过CVD(包括PECVD)、ALD(包括PEALD)、溅射等沉积。在一些实施方案中,优选保形地沉积SnO2膜,使得其遵循(follow)衬底的表面,包括遵循衬底上任何突起和凹陷特征的表面。保形SnO2膜的合适沉积方法之一是ALD。可以使用热或等离子体增强的ALD。在典型的热ALD方法中,将衬底提供给ALD处理室并依次暴露于含锡前体和含氧反应物,其中使含锡前体和含氧反应物在衬底表面上反应,以形成SnO2。在衬底暴露于含锡前体之后,并且在使含氧反应物进入处理室之前,通常用惰性气体清扫ALD处理室,以防止在处理室的主体(bulk)中反应。此外,在用含氧反应物处理衬底之后,通常用惰性气体清扫ALD处理室。连续暴露重复几个循环,例如,可以进行介于约10-100个之间的循环,直到沉积具有所需厚度的氧化锡层。合适的含锡前体的示例包括含卤化锡的前体(如SnCl4和SnBr4),和非含卤化锡前体,如有机锡化合物,其包括烷基取代的锡酰胺等。适用于ALD的烷基取代的锡酰胺的具体示例是四(二甲基氨基)锡、四(乙基甲基氨基)锡、N2,N3-二叔丁基-丁烷-2,3-二氨基-锡(II)和(1,3-双(1,1-二甲基乙基)-4,5-二甲基-(4R,5R)-1,3,2-二氮杂锡基烷-2-亚基((1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4R,5R)-1,3,2-diazastannolidin-2-ylidene)。含氧反应物包括但不限于氧、臭氧、水、氢过氧化物和NO。也可以使用含氧反应物的混合物。沉积条件将根据ALD反应物的选择而变化,其中反应性较高的前体通常相比于反应性较低的前体在较低温度下反应。处理将通常在介于约20-500℃之间的温度下,在低于大气压的压强下进行。选择温度和压强使得反应物在处理室中保持气态以避免冷凝。每种反应物都以气态形式单独或与载气(例如氩气、氦气或氮气)混合提供给处理室。这些混合物的速率将取决于处理室的尺寸,并且在一些实施方案中为介于约10-10000sccm之间。

在一示例中,ALD处理包括在200-400℃的温度下将ALD真空室中的衬底顺序地和交替地暴露于SnCl4(含锡前体)和去离子水(含氧反应物)。在ALD循环的具体示例中,将SnCl4蒸气与N2载气的混合物引入ALD处理室持续0.5秒,然后暴露于衬底3秒。接下来,用N2清扫ALD处理室持续10秒以从处理室的主体中去除SnCl4,并且使H2O蒸气与N2载气的混合物流入处理室持续1秒钟并暴露于衬底持续3秒。接下来,用N2清扫ALD处理室并重复该循环。ALD处理在低于大气压(例如0.4托)和200-400℃的温度下进行。

虽然在ALD中使用卤化锡前体在许多实施方案中是合适的,但在一些实施方案中,更优选使用非卤化有机锡前体以避免使用卤化前体如SnCl4时可能发生的腐蚀问题。合适的非卤代有机锡前体的示例包括烷基氨基锡(烷基化锡酰胺)前体,例如四(二甲基氨基)锡。在ALD处理的一个示例中,衬底在ALD室中依次暴露于四(二甲基氨基)锡和H2O2,温度为约50-300℃之间。有利地,使用该前体使得能在100℃或更低的低温下沉积SnO2膜。例如,可以在50℃下沉积SnO2膜而不使用等离子体来提高反应速率。

在一些实施方案中,通过PEALD沉积SnO2膜。可以使用与上述用于热ALD的含锡前体和含氧反应物类型相同的含锡前体和含氧反应物类型。在PEALD中,ALD设备配备有用于在处理室中产生等离子体并用于用等离子体处理衬底的系统。在典型的PEALD处理顺序中,将衬底提供给PEALD处理室并暴露于吸附在衬底表面上的含锡前体。用惰性气体(例如氩气或氦气)清扫处理室以从处理室中去除前体,并将衬底暴露于被引入处理室中的含氧反应物。在引入含氧反应物的同时或在延迟之后,在处理室中形成等离子体。等离子体促进含锡前体和衬底表面上的含氧反应物之间的反应,导致形成氧化锡。接下来,用惰性气体清扫处理室,并且将包括锡前体投配、清扫、含氧反应物投配、等离子体处理和第二次清扫的循环重复与所需要的次数一样多的次数以形成所需厚度的氧化锡膜。

作为心轴的氧化锡

在所提供的实现方式中,氧化锡层用作心轴。参考图1A-1F说明氧化锡心轴的使用,图1A-1F提供了在处理的不同阶段的半导体衬底的示意性横截面图。图2提供了这些方法的实施方案的处理流程图。

参考图2,该处理在201通过提供具有多个氧化锡突起特征的衬底开始。图1A中示出了说明性衬底,其示出了存在于蚀刻停止层(ESL)103上的两个氧化锡心轴101。在一些实施方案中,相邻心轴之间的距离d1在约10-100nm之间。在一些实施方案中,使用约40-100nm的相对较大的距离。在其他应用中,最接近的心轴之间的距离介于约10-30nm之间。在一些实施方案中,最接近的心轴的中心之间的距离d2(也称为节距)介于约30-130nm之间。在一些实施方案中,节距介于约80-130nm之间。在其他实施方案中,节距介于约30-40nm之间。心轴的高度d3通常介于约20-200nm之间,例如在约50-100nm之间。

优选地选择心轴和ESL的材料,以使得能在暴露的间隔材料存在下随后选择性蚀刻氧化锡心轴材料,以及在暴露的间隔材料存在下选择性蚀刻ESL材料。因此,对于ESL蚀刻化学物质,ESL材料的蚀刻速率比间隔材料的蚀刻速率的比率大于1,更优选地大于约1.5,例如大于约2。类似地,对于心轴拉动化学物质(a mandrel pull chemistry),氧化锡心轴材料的蚀刻速率比间隔材料的蚀刻速率的比率大于1,更优选地大于约1.5,例如大于约2。

在一些实施方案中,ESL材料是含硅化合物(例如SiO2),或金属氧化物(例如氧化钛、氧化锆、或氧化钨)。心轴材料是氧化锡并且间隔材料可包括含硅化合物(例如,SiO2、SiN或SiC)、含碳化合物(例如,无定形碳、类金刚石碳或光致抗蚀剂)、非晶硅(掺杂或未掺杂的)或金属氧化物(TaO、TiO、WO、ZrO、HfO)。ESL材料、心轴材料和间隔材料被选择为全部不同。在一些实施方案中,心轴的外部材料可以与心轴芯不同。ESL层和心轴可以通过物理气相沉积(PVD)、化学气相沉积(CVD)、ALD(无等离子体或通过PEALD)或等离子体增强化学气相沉积(PECVD)中的一种或多种形成,并且可以使用光刻技术或本文所述的SAQP型方法来定义心轴的图案。

优选地选择用于间隔件的材料,使得可以使用间隔件蚀刻化学物质相对于氧化锡选择性地对其进行蚀刻,并且可以使用心轴拉化学物质相对于间隔材料选择性地蚀刻氧化锡心轴。在一些实施方案中,选择ESL材料,使得可以使用ESL蚀刻化学物质相对于间隔材料选择性地蚀刻ESL。

在一些实施方案中,与氧化锡心轴结合使用的间隔材料包括但不限于氧化硅、氮化硅或氧化钛。可以在该实施方案中使用的其他合适的间隔材料包括SiC、SiOC、SiNO、SiCNO和SiCN。可以与氧化锡心轴结合使用的合适的ESL和间隔材料对的示例包括:(i)氧化钛间隔件和氧化硅ESL;(ii)氧化硅间隔件和钨ESL;(iii)氧化硅间隔件和碳化硅ESL。

再次参考图1A中所示的衬底,ESL层103位于目标层105上并与其接触。目标层105是需要被图案化的层。目标层105可以是半导体层、介电层或其他层,并且可以由例如硅(Si)、氧化硅(SiO2)、氮化硅(SiN)或氮化钛(TiN)制成。在一些实施方案中,目标层指的是硬掩模层并且包含金属氮化物,例如氮化钛。目标层105可以通过ALD(无等离子体或通过PEALD)、CVD或其他合适的沉积技术沉积。目标层105位于层107上并与层107接触,层107在一些实施方案中是BEOL层,其包括嵌入介电材料层中的多个金属线。

再次参考图2,该处理在203继续,在203中,在突起特征的水平表面和侧壁上都沉积间隔材料层。参照图1B所示的结构,间隔材料层109沉积在ESL 103上,并且沉积在氧化锡心轴101上,包括沉积在心轴101的侧壁上。通过任何合适的方法沉积间隔材料层,例如通过CVD(包括PECVD)、ALD(包括PEALD)、溅射等沉积间隔材料层。

在一些实施方案中,优选地保形地沉积间隔材料膜,使得其遵循ESL 103的表面和氧化锡心轴101,如图1B所示。如本文所使用的保形膜整体上遵循衬底的轮廓。在一些实施方案中,保形膜的厚度在所有(水平和竖直)表面上大致相同(波动小于50%)。在一些实施方案中,所沉积的保形膜中的厚度波动小于15%。在其他实施方案中,保形膜的厚度在水平表面上可以比在侧壁上显著更大。在一些实施方案中,间隔材料层保形地沉积至介于约5-30nm之间的厚度,例如约10-20nm之间的厚度。通常,间隔材料层109通过任何合适的方法沉积,例如通过CVD(包括PECVD)、ALD(包括PEALD)、溅射等沉积。例如,间隔材料可以是PECVD沉积或ALD沉积的氧化硅层、氮化硅层或氧化钛层中的任何一种。在一种实施方案中,间隔材料是ALD沉积的氧化钛,而ESL是氧化硅或另一种含硅材料。可以通过PECVD或ALD沉积的间隔材料的其他示例包括SiC、SiOC、SiNO、SiCNO和SiCN。

参照图2的处理图,在已经沉积了间隔材料层之后,该处理在205继续,在205,从水平表面去除间隔材料而没有完全去除氧化锡突起特征的侧壁处的间隔材料。使用间隔件蚀刻化学物质执行该步骤。如图1C所示,从水平表面去除间隔材料,使得氧化锡心轴101材料和ESL 103暴露,而在心轴101的侧壁处的间隔材料109未被完全去除。间隔件蚀刻化学物质优选对氧化锡心轴和ESL材料都是选择性的。当间隔件是基于硅的,例如SiO2,SiN或SiC、SiOC、SiNO、SiCNO和SiCN中的任何一种时,可以使用基于氟的蚀刻。例如,等离子体碳氟化合物蚀刻化学物质可用于相对于氧化锡心轴选择性地蚀刻含硅间隔件。可以根据所用ESL的类型调整化学物质。当间隔件是氧化钛时,可以使用基于氯的化学物质相对于氧化锡心轴和氧化硅ESL两者选择性地蚀刻它。基于氯的蚀刻化学物质包括将衬底暴露于(通常为等离子活化的)含Cl的反应物中,例如等离子活化的Cl2、BCl3等。当间隔件为基于硅的(例如SiO2,SiN或SiC、SiOC、SiNO、SiCNO和SiCN中的任何一种)时,而ESL是钨,则可以使用基于氟(flurione)的蚀刻化学物质(例如通过等离子体活化的SF6/O2混合物)相对于氧化锡和钨两者选择性地蚀刻间隔件。

图1C示出了从水平表面去除了间隔物质。间隔物质层109被从ESL103上方和心轴101上方的水平表面蚀刻掉,而没有从粘附到氧化锡心轴101的侧壁的位置完全蚀刻掉。该蚀刻使层103的除了靠近氧化锡心轴101的侧壁的位置以外的任何地方暴露。此外,该蚀刻暴露了氧化锡心轴101的顶部。得到的结构如图1C所示。优选地,在该蚀刻之后,保留侧壁处的氧化锡层的初始高度的至少50%,例如至少80%或至少90%。

参照图2中所示的处理,在205中从水平表面去除间隔材料之后,在下一操作207中,去除氧化锡突起特征,而没有完全去除先前已经存在于突起特征(心轴)的侧壁上的间隔材料,从而形成多个间隔件。所得的结构在图1D中示出,其示出了在去除氧化锡心轴101之后存在于ESL103上的多个间隔件109。通过将衬底暴露于有选择地蚀刻心轴材料的蚀刻化学物质(心轴拉化学物质)来执行心轴的去除。因此,在该步骤中,氧化锡心轴材料的蚀刻速率与间隔材料的蚀刻速率的比率大于1,并且更优选地大于1.5。此外,在一些实施方案中,在该步骤中使用的蚀刻化学物质应当相对于ESL材料选择性地蚀刻氧化锡心轴材料。可以使用多种蚀刻方法,并且化学物质的具体选择取决于间隔件的材料和ESL层的材料。接下来,使用心轴拉化学物质去除氧化锡心轴101。在一些实施方案中,使用基于氢的蚀刻来去除氧化锡心轴。例如,在一些实施方案中,使衬底与等离子体活化的含氢气体(例如包括H2、HBr、NH3、烃、H2O或其组合的气体)接触,以形成挥发性的氢化锡,其易于从处理室中去除。基于氢的蚀刻对各种间隔材料(包括SiO2,SiN或SiC、SiOC、SiNO、SiCNO、SiCN和TiO2中的任何一种)以及ESL层的金属(例如W)或含金属电介质(包括WO2、TiN、TaN、ZrO、HfO)具有选择性。在替代实施方案中,可以使用本文提供的其他类型的氧化锡蚀刻化学物质。

参照图2,在已经形成间隔件之后,在操作209中,在存在间隔件的情况下蚀刻ESL材料,从而形成图案化的ESL。该步骤使用ESL蚀刻化学物质执行。所得的结构在图1E中示出,其中示出了对暴露的ESL 103进行蚀刻以在不受间隔件109保护的所有位置上暴露出下伏的目标层105。在一些实施方案中,在该步骤中使用的ESL蚀刻化学物质在间隔材料的存在下选择性地蚀刻ESL材料。换句话说,在一些实施方案中,对于ESL蚀刻化学物质,ESL材料的蚀刻速率与间隔材料的蚀刻速率的比率大于1,并且更优选地大于1.5。当间隔材料是TiO2且ESL材料是硅基材料(例如SiO2,SiN或SiC、SiOC、SiNO、SiCNO和SiCN中的任何一种)时,基于氟的蚀刻(例如碳氟化合物等离子体蚀刻化学物质)可以在存在TiO2的情况下选择性蚀刻ESL层。

在将由间隔件限定的图案转移到ESL之后,在不受ESL膜103保护的所有位置上蚀刻目标层105,以暴露下伏层107。在该蚀刻步骤中也可以去除间隔件109,从而提供图1F所示的图案化结构。在一些实施方案中,选择在该步骤中使用的蚀刻化学物质以去除目标材料和间隔材料。在其他实施方案中,可以使用具有不同化学物质的两个不同的蚀刻步骤来分别图案化目标层105以及去除间隔件109。可以使用多种蚀刻化学物质,具体取决于目标层的化学物质。在一实施方案中,目标层105是金属氮化物层(例如,TiN)层。例如,可以使用基于氯的蚀刻化学物质来蚀刻氮化钛目标层材料。如果间隔材料是TiO2,则可以在与目标层蚀刻同时地通过基于氯的蚀刻来去除间隔件。

形成氧化锡心轴。可以使用几种不同的方法来形成具有氧化锡心轴的衬底。在一些实施方案中,通过图案化氧化锡覆盖层来形成氧化锡心轴。这通过图3中所示的处理流程图说明。该处理在操作301中通过提供具有氧化锡覆盖层的衬底而开始。例如,可将氧化锡沉积在具有平坦暴露的ESL的衬底上,以在ESL上方形成平坦的氧化锡层。接下来,在操作303中,在氧化锡层上方形成图案化层。例如,光致抗蚀剂覆盖层可以沉积在氧化锡上(但不一定与氧化锡直接接触),并且可以使用光刻技术来图案化。在一些实施方案中,在氧化锡层和光致抗蚀剂层之间沉积一个或多个中间覆盖层。接下来,在操作305中,氧化锡被蚀刻并且图案被转移到氧化锡层,使得在衬底上形成多个氧化锡突起。如果在氧化锡层和光致抗蚀剂之间存在中间层,则首先将图案转印至这些中间层。在一些实施方案中,在图案化掩模材料(诸如光致抗蚀剂、碳、另一种含碳材料和/或含硅材料)层的存在下,通过基于氢的蚀刻化学物质来蚀刻氧化锡。

在图4A-4E中示出了形成具有图案化的氧化锡心轴的衬底的示例性方法,其描绘了在处理期间的半导体衬底的示意性截面图。图案化通过提供包括形成在覆盖层堆叠件上的图案化光致抗蚀剂层401的结构开始,其中该堆叠件从上到下包括:旋涂玻璃层403(或另一种含硅材料,例如通过低温CVD沉积的氧化硅基材料或SiON层)、旋涂碳层或PECVD沉积的非晶碳层405、氧化锡层407(例如,其通过ALD、PECVD或溅射沉积)、ESL(例如,409)和目标层411(例如,氮化钛)。首先,例如通过基于氟的蚀刻(例如,基于碳氟化合物的蚀刻化学物质)来蚀刻旋涂玻璃层(或另一种含硅材料)。该蚀刻将光致抗蚀剂的图案转移到旋涂玻璃层403上。所得到的结构在图4B中示出。接下来,在暴露出碳层405之后,使用例如基于氧的化学物质(例如,在等离子体中活化的O2、O3、NO、SO2、COS、CO、CO2)蚀刻碳,并且将图案转移到碳。该步骤可以同时去除(部分或全部)光致抗蚀剂401。图4C示出了具有图案化层401、403和405的所形成的结构,该图案层401、403和405具有被部分去除的光致抗蚀剂401。接下来,使用本文公开的任何合适的氧化锡蚀刻化学物质(例如,使用基于氢的化学物质)蚀刻覆盖氧化锡层407,并且如图4D的结构所示,将图案转移到氧化锡。最后,使用例如基于氧的化学物质灰化和去除碳层405,从而提供具有图4E所示的图案化的氧化锡心轴的结构。

图5A-5C示出了替代的处理流程,其类似于图4A-4E所示的处理,但是不包括碳硬掩模405,如图5A所示。在该处理流程中,如图5B所示,将光致抗蚀剂401的图案转移到旋涂玻璃层403上。接下来,蚀刻氧化锡层407,并且将图案直接从层403转移到氧化锡层407。可以使用基于氢的蚀刻化学物质(例如,使用H2和/或HBr)来蚀刻氧化锡并将其转化成氢化锡,也可以是基于氯的化学物质(例如,使用Cl2和/或BCl3)。所得的结构如图5C所示。

采用光致抗蚀剂对覆盖层进行图案化的光刻方法包括:将光致抗蚀剂施加到衬底上(在要图案化的覆盖层上);使光致抗蚀剂曝光;图案化光致抗蚀剂并将图案转移到衬底上;以及选择性地从衬底上去除光致抗蚀剂。

在另一实施方案中,通过SAQP处理形成氧化锡心轴,其中首先形成氧化锡间隔件,然后将其用作心轴。该处理由图6中所示的处理流程图示出。该处理在601中通过在半导体衬底上形成第一心轴而开始。在一个实施方案中,该处理开始于提供具有在蚀刻停止层材料上方形成的多个突起特征的衬底。突起特征是在图案化期间使用的第一心轴,并且可以包括可以相对于氧化锡被选择性地蚀刻的任何心轴材料(例如,光致抗蚀剂、碳、含碳材料、含硅材料(例如硅和/或含硅化合物)等)。选择第一心轴材料与蚀刻停止层材料不同。在一些实施方案中,第一心轴是光致抗蚀剂心轴。当第一心轴是光致抗蚀剂心轴时,与通常需要使用附加的光刻步骤来图案化的其他心轴材料相比,可以用更少的步骤来执行该处理。

在一些实施方案中,第一心轴是光致抗蚀剂,而ESL是含硅化合物,例如氧化硅、碳化硅、氮化硅等。接下来,在操作603中,将氧化锡层保形地沉积在第一心轴上。保形沉积的氧化锡层覆盖第一心轴的水平表面和第一心轴的侧壁两者以及暴露的ESL。

在一些实施方案中,在已经保形地沉积了氧化锡层之后并且在从水平表面去除氧化锡之前,使用钝化方法保护存在于心轴侧壁上的氧化锡。在随后的从水平表面上去除氧化锡的蚀刻步骤期间执行钝化以阻止蚀刻存在于心轴侧壁上的氧化锡。在一些实施方案中,通过首先在涂覆有氧化锡的第一心轴的水平表面和侧壁上都沉积钝化材料,然后从水平表面去除钝化材料,而在第一心轴的侧壁处的氧化锡层上方形成钝化层。例如,可以在涂覆有氧化锡的第一心轴的水平表面和侧壁两者上沉积含硅的钝化材料,然后使用基于氟的(例如,基于碳氟化合物的)蚀刻化学物质从水平表面去除含硅的钝化材料。这将导致这样的结构,其中在侧壁上的氧化锡在氧化锡蚀刻之前被含硅的钝化材料层保护。在钝化的另一示例中,在突起特征的侧壁处的氧化锡层上方形成钝化层包括在第一心轴的水平表面和侧壁处的氧化锡上方沉积含碳的钝化材料,然后从水平表面去除含碳钝化材料。在钝化方法的又一实施方案中,在第一心轴的侧壁处的氧化锡层上方形成钝化层包括将氧化锡层的外部转化成含锡的钝化材料,例如SnN、SnBr、SnF。在一示例中,通过使具有暴露的氧化锡层的衬底与等离子体中的含氮反应物接触,将氧化锡层的外部转化为氮化锡。

接下来,在605中,该处理通过以下方式来进行:从水平表面蚀刻氧化锡层,并且随后去除第一心轴以形成多个氧化锡突起特征(第一间隔件或第二心轴)。可通过本文所述的任何选择性氧化锡蚀刻化学物质(例如,通过基于氢的蚀刻)从水平表面去除氧化锡。从水平面去除氧化锡而没有完全去除侧壁上的氧化锡。

接下来,去除第一心轴,而没有完全去除存在于第一心轴的侧壁上的氧化锡,从而留下存在于ESL材料层上的多个突起的氧化锡特征(第一间隔件)。例如,可以通过基于氧的蚀刻化学物质去除光致抗蚀剂第一心轴,并且可以通过基于氟的化学物质去除含硅的心轴。可以使用所提供的方法来形成具有期望的几何形状(例如,正方形、最小或没有基脚以及一致的节距)的氧化锡第一间隔件(其用作第二心轴)。

然后将这些突起的氧化锡特征用作第二心轴,以进行后续图案化(如图1A-1F所示的顺序)。具体而言,该处理通过以下方式继续:在衬底上保形沉积第二间隔材料,以使第二间隔材料覆盖在侧壁和水平表面两者上的氧化锡第二心轴。选择第二间隔材料使得其可以相对于氧化锡心轴选择性地蚀刻。在一些实施方案中,第二间隔材料是含硅化合物,例如氧化硅。优选地,第二间隔材料与ESL材料不同;第二间隔材料优选地通过保形沉积方法(诸如ALD)沉积;在沉积第二间隔材料之后,将其从水平面去除而不被从氧化锡心轴侧壁附近的位置上完全移除。该蚀刻可以通过任何蚀刻方法进行,该蚀刻方法可以相对于氧化锡进行选择性蚀刻。例如,如果第二间隔材料是诸如氧化硅之类的含硅化合物,则可以使用基于氟(例如,基于碳氟化合物)的等离子体蚀刻。接下来,去除氧化锡第二心轴而不完全去除存在于第二心轴的侧壁上的第二间隔材料。可以通过选择性地蚀刻氧化锡的任何蚀刻方法来执行该蚀刻。在一些实施方案中,在第二间隔材料(例如,氧化硅)的存在下,使用基于氢的蚀刻来选择性地去除氧化锡第二心轴。在去除氧化锡心轴之后,衬底包含在蚀刻停止层上的多个间隔件。在该阶段的间隔件的数量是第一心轴的数量的四倍(四重图案)。随后的处理可以包括在存在间隔件的情况下选择性地蚀刻和去除暴露的蚀刻停止层,然后选择性地蚀刻一种或多种目标材料,以及去除间隔件。

通过图7A-7G所示的进行处理的衬底的示意性横截面图显示了处理顺序的一种实现方式,其中将氧化锡间隔件用作SAQP(自对准四重图案)型实现方式中的第二个心轴。等轴测视图如图8A-8F所示。图7A示出了具有存在于蚀刻停止层703上的突起特征(第一心轴)701的半导体衬底。多个层705和707位于蚀刻停止层下方。这些下伏层可包括目标层(其需要被图案化)和/或一个或多个硬掩模。在所描绘的实施方案中,第一心轴701由光致抗蚀剂制成,并且ESL 703是含硅化合物层,诸如氧化硅层、氮化硅层、碳化硅层、氧氮化硅层等。在衬底上沉积保形的氧化锡层,从而提供如图7B所示的结构。在该实施方案中,将氧化锡层709直接沉积在光致抗蚀剂心轴701和ESL 705上,以使得其覆盖衬底的水平表面和光致抗蚀剂心轴701的侧壁的表面。相应的等轴测图如图8A所示。接下来,从水平表面去除氧化锡,而没有从心轴侧壁附近的区域完全去除氧化锡,从而提供了图7C所示的结构。这种结构的等轴测图在图8B中示出。可以使用本文描述的任何方法相对于光致抗蚀剂选择性地去除氧化锡。例如,可以使用包括至少在蚀刻的一部分期间进行基于氢的蚀刻的方法从水平表面蚀刻氧化锡。可以使用钝化来为氧化锡间隔件提供最佳的几何形状。该步骤使光致抗蚀剂材料暴露。接下来,选择性地去除光致抗蚀剂而不完全去除存在于光致抗蚀剂心轴侧壁上的氧化锡。在一些实施方案中,该光致抗蚀剂心轴拉动通过灰化(例如使用基于氧气的化学物质)来执行。光致抗蚀剂心轴的去除是使用相对于氧化锡和ESL材料具有选择性的化学物质进行的,并且基于氧的灰化是用于去除光致抗蚀剂的合适的选择性方法。所得的结构在图7D中显示,其中氧化锡突起特征709(可以称为第一间隔件和第二心轴)位于ESL 703上,并且彼此间隔开限定的距离,该限定的距离由第一光致抗蚀剂心轴的尺寸确定。这些突起特征的数量是第一心轴的数量的两倍。相应的等轴测图如图8C所示。

在形成氧化锡突起特征之后,将它们用作第二心轴以用于随后的图案化。该处理包括在衬底的表面上保形地沉积第二间隔材料。在所示的实施方案中,第二间隔材料是不同于ESL材料的含硅化合物。例如,在一些实现方式中,第二间隔件是氧化硅,并且ESL材料是不同的材料(例如,碳化硅)。在沉积第二间隔材料之后获得的结构在图7E中示出,其中第二间隔材料层711(在所示实施方案中为氧化硅)覆盖氧化锡心轴709的水平表面、氧化锡心轴的侧壁和ESL703。在一些实施方案中,通过保形沉积方法,例如ALD,沉积氧化硅第二间隔层。相应的等距结构如图8D所示。

接下来,将第二间隔材料从水平表面去除,而没有从氧化锡心轴的侧壁区域中完全去除。该蚀刻优选相对于氧化锡是选择性的。在所描绘的实施方案中,可使用基于氟(例如,基于碳氟化合物)的蚀刻化学物质相对于氧化锡选择性地蚀刻氧化硅。蚀刻之后获得的结构在图7F中示出,其中第二心轴709的氧化锡材料被暴露。相应的等轴测图如图8E所示。接下来,在不完全去除存在于第二心轴的侧壁处的第二间隔件的材料的情况下,去除第二心轴709。可以使用本文所述的任何选择性的氧化锡蚀刻化学物质来执行该第二心轴拉动。在一种实现方式中,使用基于氢的蚀刻化学物质相对于第二间隔件(例如,氧化硅)材料选择性地去除氧化锡第二心轴。在去除氧化锡第二心轴之后,衬底包含在ESL层上的多个第二间隔件。第二间隔件的数量是第二氧化锡心轴的数量的两倍,并且是第一光致抗蚀剂心轴的数量的四倍。图7G示出了在ESL 703上的间隔件711。图8F示出了相应的等距视图。该处理可以进一步通过以下方式继续:类似于在此提供的任何间隔件或硬掩模处理序列(例如,参考图1D-1F所描述的),在未被间隔件保护的位置处蚀刻下伏层703和705。然后在下伏层的图案化之后或期间去除第二间隔件。

示出的序列可以提供以下处理益处。首先,如果使用SiO2进行原位侧壁钝化,则可以蚀刻氧化锡以获得具有最小的基脚且没有间隔件关键尺寸(CD)损失的方形间隔件(其两倍于第二心轴)。具有最小基脚的方形氧化锡间隔件满足作为用于第二间隔件沉积的第二心轴的要求。当第二间隔件是含硅材料(例如,SiO2)时,可以使用基于氟的化学物质对第二间隔件进行蚀刻,相对于氧化锡,其具有高选择性。可以使用对氧化硅或其他含硅化合物(可以用作第二种间隔材料)具有高蚀刻选择性的H2化学物质去除氧化锡间隔件/心轴。

其他氧化物作为心轴。在一些实施方案中,在本文描述的任何处理顺序中,使用其他氧化物材料代替氧化锡。具体地,可以使用形成具有高蒸气压的氢化物的元素的氧化物。使用形成挥发性氢化物(例如沸点小于约20℃,例如小于约0℃的氢化物)的元素的氧化物,其中氢化物在加工温度下稳定且呈气态。例如,在一些实施方案中,在本文描述的任何处理顺序中,可以使用氧化锑或氧化碲代替氧化锡,并且在处理期间使用类似的基于氢的化学物质对其进行蚀刻。

设备

这里描述的方法可以在被配置用于蚀刻和沉积的多种设备中进行。被配置用于蚀刻的合适的设备包括:蚀刻处理室;该蚀刻处理室中的衬底保持器,其配置成在蚀刻期间将衬底保持在适当位置;以及等离子体产生机构,其配置用于在处理气体中产生等离子体。

合适设备的示例包括电感耦合等离子体(ICP)反应器,在某些实施方案中,其也可适用于循环沉积和活化处理,包括适用于原子层蚀刻(ALE)操作和原子层沉积(ALD)操作。尽管ICP反应器在本文详细描述了,但是应该理解的是,在一些实施方案中也可以使用电容耦合等离子体反应器。

图9示意性地示出了适于实施本文描述的等离子体蚀刻的电感耦合等离子体集成蚀刻和沉积设备900的横截面图,其示例是反应器,由加利福尼亚州弗里蒙特的LamResearch Corp.生产。所述电感耦合等离子体设备900包括由室壁901和窗911结构上限定的总处理室924。室壁901可以由不锈钢或铝制成。窗911可以由石英或其它介电材料制成。任选的内部等离子体栅格950将总处理室分为上副室902和下副室903。在大多数实施方案中,等离子体栅格950可以被移除,从而利用由副室902和903构成的室空间。卡盘917定位在下副室903中在底部内表面附近。卡盘917被配置成接收和保持在其上执行蚀刻和沉积处理的半导体晶片919。卡盘917可以是当晶片919存在时用于支撑晶片919的静电卡盘。在一些实施方案中,边缘环(未示出)围绕卡盘917,并具有大致与晶片919(当晶片存在于卡盘917上方时)的顶面在同一平面的上表面。卡盘917还包括能夹紧和松开(dechucking)晶片919的静电电极。可设置过滤器和DC钳位功率源(未示出)用于此目的。也可以提供其它的控制系统用于提升晶片919使其离开卡盘917。卡盘917可以用RF功率源923充电。RF功率源923通过连接件927被连接到匹配电路921。匹配电路921通过连接件925连接到卡盘917。以这种方式,RF功率源923被连接到卡盘917上。在多种实施方案中,静电卡盘的偏置功率可以设置在约50Vb,或者可以设置在不同的偏置功率,具体取决于根据所公开的实施方案执行的处理。例如,所述偏置功率可以设置在约20Vb和约100Vb之间,或在约30Vb和约150Vb之间。

用于等离子体产生的元件包括位于窗911上方的线圈933。在一些实施方案中,所公开的实施方案中未使用线圈。线圈933由导电材料制成,并包括至少一整匝。在图9中所示的线圈933的例子包括三匝。线圈933的横截面用符号示出,且具有符号“X”的线圈933表示线圈933旋转地延伸到页面内,而具有符号“●”的线圈933表示旋转地延伸出页面。用于等离子体产生的元件还包括被配置为提供RF功率至线圈933的RF功率源941。一般地,RF功率源941通过连接件945被连接到匹配电路939。匹配电路939通过连接件943连接到线圈933。以这种方式,RF功率源941被连接到线圈933。可选的法拉第屏蔽件949a被定位在线圈933和窗911之间。法拉第屏蔽件949a可以以相对于线圈933成隔开的关系被保持。在一些实施方案中,法拉第屏蔽件949a被设置在窗911的正上方。在一些实施方案中,法拉第屏蔽件949b被设置在窗911和卡盘917之间。在一些实施方案中,法拉第屏蔽件949b没有以相对于线圈933成隔开的关系被保持。例如法拉第屏蔽件949b可以没有间隙地位于窗911正下方。线圈933、法拉第屏蔽件949a和窗911各自被配置为基本上彼此平行。法拉第屏蔽件949a可以防止金属或其它物质沉积在处理室924的窗911上。

处理气体(例如H2和He等)可以通过位于上副室902的一个或更多个主气体流入口960和/或通过一个或更多个侧气体流入口970流入处理室。同样,虽然未明确示出,但是类似的气体流入口可用于向电容耦合等离子体处理室供应处理气体。真空泵,例如,一级或两级干式机械泵和/或涡轮分子泵940,可用于将处理气体从处理室924抽出并维持处理室924内的压强。例如,真空泵可用于在清扫操作过程中排空下副室903。阀控制的导管可用于使真空泵流体连接在处理室924上,以便选择性地控制由真空泵提供的真空环境的应用。在操作等离子体处理过程中,这可以使用封闭环控制的流量限制设备例如节流阀(未示出)或钟摆阀(未示出)进行。同样,也可以使用受控地流体连接在电容耦合等离子体处理室上的真空泵和阀。

在设备900的操作过程中,一种或多种处理气体(如用于基于氢的蚀刻的含H2气体)可通过气体流入口960和/或970供给。在某些实施方案中,处理气体可以仅通过主气体流入口960供给,或者仅通过侧气体流入口970供给。在一些情况下,在图中所示的气体流入口可以由较复杂的气体流入口替代,例如,由一个或多个喷头替代。法拉第屏蔽件949a和/或任选的栅格950可以包括能使处理气体输送至处理室924的内部通道和孔。法拉第屏蔽件949a和任选的栅格950中的一者或两者可以作为用于输送处理气体的喷头。在一些实施方案中,液体蒸发和输送系统可位于处理室924的上游,使得一旦液体反应物或前体被蒸发,那么蒸发的反应物或前体通过气体流入口960和/或970引入到处理室924中。

射频功率从RF功率源941供给到线圈933以使RF电流流过线圈933。流过线圈933的RF电流产生围绕线圈933的电磁场。电磁场产生在上副室902内的感应电流。所生成的各离子和自由基与晶片919的物理和化学相互作用蚀刻晶片919上的特征和在晶片919上选择性地沉积层。

如果使用等离子体栅格950使得存在上副室902和下副室903二者,则感应电流作用于存在于上副室902中的气体上以在上副室902中产生电子-离子等离子体。任选的内部等离子体栅格950限制下副室903中的热电子的量。在一些实施方案中,设计和操作所述设备900使得存在于下副室903中的等离子体是离子-离子等离子体。

上部的电子-离子等离子体和下部的离子-离子等离子体二者可包含阳离子和阴离子,尽管离子-离子等离子体将具有更大的阴离子:阳离子的比率。挥发性的蚀刻和/或沉积的副产物可通过端口922从下副室903去除。例如,在使用H2等离子体蚀刻氧化锡期间产生的氢化锡可以在清扫和/或抽排期间通过端口922去除。本文所公开的卡盘917可在约10℃与约250℃之间的升高的温度范围内操作。该温度将取决于处理操作和具体配方。在一些实施方案中,控制该设备以在低于约100℃的温度下进行蚀刻。

设备900当安装在干净的房间或制造厂中时可耦合在设施(未示出)上。设施包括管道,管道提供处理气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时连接在设备900上。此外,设备900可耦合在传送室上,该传送室允许使用典型的自动化由机械手传送半导体晶片进出设备900。

在一些实施方案中,系统控制器930(其可包括一个或更多个物理或逻辑控制器)控制处理室924的一些或所有操作。系统控制器930可包括一个或更多个存储器器件和一个或更多个处理器。在一些实施方案中,设备900包括用于控制处理气体的流率的切换系统。在一些实施方案中,控制器包括用于引起本文提供的任何方法的步骤的程序指令。

在一些实现方式中,系统控制器930是系统的一部分,该系统可以是上述示例的一部分。这种系统可以包括半导体处理设备,其包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以整合到系统控制器930中,该系统控制器930可以控制一个或多个系统的各种元件或子部件。根据处理参数和/或系统的类型,系统控制器可以被编程以控制本文公开的任何处理,包括控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它传输工具和/或与具体系统连接或通过接口连接的装载锁。

宽泛地讲,系统控制器930可以被定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式通信到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备或者去除晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。

在一些实现方式中,系统控制器930可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云”中或者是晶片厂(fab)主机系统的全部或一部分,其可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的处理。在一些示例中,远程计算机(例如,服务器)可以通过网络给系统提供处理配方,网络可以包括本地网络或互联网。远程计算机可以包括能够输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些示例中,系统控制器930接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的处理类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,系统控制器930可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的处理和控制)工作。用于这些目的的分布式控制器的示例可以是与结合以控制室上的处理的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。

示例的系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。

如上所述,根据工具将要执行的一个或多个处理步骤,控制器可以与一个或多个其它的工具电路或模块、其它工具组件、群集工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。

图10描述了半导体处理集群结构,其中各个模块与真空传送模块1038(VTM)接口。在多个存储器件和处理模块之间“传送”晶片的各种模块的配置可以被称为“集群工具架构”系统。气密室1030(也被称为装载锁或传送模块)与VTM 1038连接,VTM 1038进而与四个处理模块1020a-1020d连接,四个处理模块1020a-1020d可以被单独优化以执行各种制造处理。例如,处理模块1020a-1020d可以被实现以执行衬底蚀刻、沉积、离子注入、晶片清洁、溅射和/或其它半导体处理。在一些实施方案中,氧化锡沉积和氧化锡蚀刻在相同的模块中进行。在一些实施方案中,氧化锡沉积和氧化锡蚀刻在相同工具中的不同模块中进行。衬底蚀刻处理模块中的一个或多个(1020a-1020d中的任意一个)可以如本文所公开的被实施,例如,用于沉积共形膜,选择性地蚀刻氧化锡,形成气隙,以及根据所公开的实施方案所述的其它合适的功能。气密室1030和处理模块1020a-1020d可以被称为“站”。每个站具有将站与VTM 1038连接的小面1036(facet 1036)。在每个小面内部,传感器1-18被用于在晶片1026在各站之间移动时检测晶片1026的通过。

机械手1022将晶片1026在站之间传输。在一个实施方案中,机械手1022具有一个臂,而在另一实施方案中,机械手1022具有两个臂,其中每个臂具有端部执行器1024以拾取晶片(例如晶片1026)以供运输。在大气传送模块(ATM)1040中,前端机械手1032用于从在负载端口模块(LPM)1042中的晶片盒或前开式晶片盒(FOUP)1034传送晶片1026到气密室1030。处理模块1020a-1020d内的模块中心1028是用于放置晶片1026的一个位置。在ATM1040中的对准器1044用于对齐晶片。

在一示例性的处理方法中,晶片被放置在LPM 1042中的多个FOUP 1034中的一个中。前端机械手1032将晶片从FOUP 1034传送到对准器1044,其允许晶片1026在被蚀刻或处理之前适当地居中。对准后,晶片1026由前端机械手1032移动到气密室1030中。由于气密室1030具有匹配ATM1040和VTM1038之间的环境的能力,因此晶片1026能够在两种压强环境之间移动而不被破坏。晶片通过机械手1022从气密室1030移动通过VTM 1038并进入处理模块1020a-1020d中的一个。为了实现这种晶片移动,机械手1022在其每一个臂上使用端部执行器1024。一旦晶片1026已被处理,则通过机械手1022将其从处理模块1020a-520d移动到气密室1030中。晶片1026可以从这里通过前端机械手1032移动到多个FOUP 1034中的一个中或移动到对准器1044。

应当注意的是,控制晶片运动的计算机对于集群架构可以是本地的,或者它可以位于在制造工厂中的集群架构的外部,或在远程位置并通过网络连接到集群架构。如上参照图9所述的控制器可以用图10中的工具实现。包含用于控制根据本发明的处理操作的指令的机器可读介质可以耦合到系统控制器。

在一些实施方案中,一种用于处理半导体衬底的系统包括一个或多个沉积室;一个或多个蚀刻室;以及具有程序指令的系统控制器,所述程序指令用于进行本文所述的任何处理或子处理。在一些实施方案中,程序指令包括用于引起以下操作:在具有多个氧化锡突起特征的半导体衬底上,导致间隔材料在氧化锡突起特征的水平表面和侧壁上的沉积;以及导致从氧化锡突起特征的水平表面上去除间隔材料以暴露出下伏的氧化锡,而没有导致在氧化锡突起特征的侧壁上完全去除间隔材料。所述控制器可以进一步包括用于下述操作的程序指令:导致去除氧化锡突起特征而没有导致完全去除先前存在于所述氧化锡突起特征的侧壁上的间隔材料,从而在所述半导体衬底上形成多个间隔件。在一些实施方案中,控制器包括用于以下操作的程序指令:通过导致在具有多个第一心轴的半导体衬底上沉积保形的氧化锡层,随后通过从水平表面上去除氧化锡材料,并通过去除第一心轴,从而导致形成具有多个氧化锡突起特征的半导体衬底。

在一些实施方案中,提供了一种蚀刻设备,该蚀刻设备包括:蚀刻处理室,其具有用于处理气体的入口;衬底保持器,其被配置成用于将半导体衬底保持在所述蚀刻处理室中;以及包括用于本文提供的任何处理和子处理中的任何一者的程序指令的处理控制器。在一些实施方案中,该控制器包括用于以下操作的指令:导致蚀刻涂覆在所述半导体衬底上的多个氧化锡突起特征的间隔材料层,从而从所述半导体衬底的水平表面上完全去除所述间隔材料,而没有完全去除在所述多个氧化锡突起特征的侧壁上的所述间隔材料。

在另一方面,提供了一种非暂时性计算机机器可读介质,其中它包括用于使得能执行本文描述的任何方法的代码。

进一步的实现方式

本文描述的设备和处理可以与光刻图案化工具或处理结合使用,例如用于制造或制备半导体器件、显示器、LED、光伏板等。典型地,但不必需地,这样的设备和处理将被用于共同的制造设施中或者在共同的制造设施中一起进行。光刻图案化膜通常包括以下步骤中的一些或全部,每个步骤可用多个可能的工具实现:(1)使用旋涂或喷涂工具在工件(即衬底)上涂覆光致抗蚀剂;(2)使用热板或炉或UV固化工具固化光致抗蚀剂;(3)用诸如晶片步进机之类的工具将光致抗蚀剂暴露于可见或UV或X射线光;(4)使抗蚀剂显影以选择性地去除抗蚀剂,从而使用诸如湿台之类的工具对其进行图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转移到底层膜或工件中;和(6)使用诸如RF或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。在一些实施方案中,提供了一种系统,其包括本文所述的任何设备和步进器。

40页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:一种处理半导体衬底的方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类