Segmented RF power system and method for providing a pre-distorted RF bias voltage signal to electrodes in a process chamber

文档序号:1602715 发布日期:2020-01-07 浏览:17次 中文

阅读说明:本技术 用于向处理腔室中的电极提供预失真的rf偏置电压信号的分段式rf功率系统和方法 (Segmented RF power system and method for providing a pre-distorted RF bias voltage signal to electrodes in a process chamber ) 是由 凯·卢 亚伦·瑞多姆斯基 于 2018-04-20 设计创作,主要内容包括:提供了一种射频功率系统,其包括偏置模块、开关、匹配网络、以及控制模块。偏置模块被配置为分别生成直流DC偏置电压。开关被配置为(i)从偏置模块接收电流,以及(ii)控制来自偏置模块的电流的流动以生成射频偏置电压信号。匹配网络被配置为(i)接收射频偏置电压信号,以及(ii)基于射频偏置电压信号,将射频输出电压信号的至少一部分提供给处理腔室中的基板支撑件的电极。控制模块被连接到开关,并被配置为基于射频输出电压信号控制开关的状态,以成形射频偏置电压信号的波形。(A radio frequency power system is provided that includes a bias module, a switch, a matching network, and a control module. The bias modules are configured to generate direct current DC bias voltages, respectively. The switch is configured to (i) receive a current from the bias module, and (ii) control a flow of the current from the bias module to generate a radio frequency bias voltage signal. The matching network is configured to (i) receive an rf bias voltage signal, and (ii) provide at least a portion of the rf output voltage signal to an electrode of a substrate support in the process chamber based on the rf bias voltage signal. The control module is connected to the switch and configured to control a state of the switch based on the radio frequency output voltage signal to shape a waveform of the radio frequency bias voltage signal.)

1. A radio frequency power system, comprising:

a plurality of bias modules configured to generate a plurality of direct current DC bias voltages, respectively;

a switch configured to (i) receive current from the plurality of bias modules, and (ii) control flow of the current from the plurality of bias modules to generate a radio frequency bias voltage signal;

a first matching network configured to (i) receive the RF bias voltage signal and (ii) provide at least a portion of an RF output voltage signal to an electrode of a substrate support in a processing chamber based on the RF bias voltage signal; and

a control module connected to the switch and configured to control a state of the switch based on the radio frequency output voltage signal to shape a waveform of the radio frequency bias voltage signal.

2. The radio frequency power system of claim 1, further comprising: a transformer comprising a primary winding and a secondary winding, wherein:

the main winding is configured to receive a combined envelope signal, wherein the combined envelope signal is generated based on the plurality of DC bias voltages;

the secondary winding is configured to output the radio frequency bias voltage signal;

the switch is connected to the main winding; and

the first matching network is connected to the secondary winding.

3. The radio frequency power system of claim 2, further comprising: a plurality of diodes connected in series with the plurality of bias modules, respectively, and between the bias modules and the main winding.

4. The radio frequency power system of claim 2, wherein the switch comprises:

a first terminal connected to the main winding;

a second terminal connected to the reference terminal; and

a control terminal connected to the control module.

5. The radio frequency power system of claim 2, wherein at least one of the plurality of DC bias voltages and another of the plurality of DC bias voltages are combined to provide the combined envelope signal, the combined envelope signal being received at the primary winding.

6. The radio frequency power system of claim 1, wherein:

the plurality of biasing modules comprises a first biasing module and a second biasing module; and

the control module is configured to maintain the first biasing module in an on state and transition the second biasing module between an on state and an off state while the first biasing module is maintained in the on state.

7. The radio frequency power system of claim 1, further comprising:

a source generator configured to generate a radio frequency source signal; and

a second matching network connected to the source generator,

wherein outputs of the first and second matching networks are connected to provide the radio frequency output voltage signal.

8. The radio frequency power system of claim 1, further comprising: a filter configured to filter the radio frequency output voltage signal to generate a detected radio frequency bias signal, wherein:

said detected radio frequency bias signal is representative of said at least a portion of said radio frequency output voltage signal; and

the control module is configured to control the state of the switch based on the detected radio frequency bias signal.

9. The radio frequency power system of claim 8, wherein the control module is configured to control a state of one or more of the plurality of bias modules based on the detected radio frequency bias signal.

10. The radio frequency power system of claim 9, wherein the control module is configured to operate the switch in a linear mode such that the switch is used as a variable resistance.

11. The radio frequency power system of claim 9, wherein the control module is configured to operate the switch in a switching mode such that the switch is operated in a saturation region and transitions between an on state and an off state.

12. The radio frequency power system of claim 1, wherein:

the switch is connected to receive the plurality of DC bias voltages in the form of a combined envelope signal; and

the first matching network is connected to an output of the switch.

13. The radio frequency power system of claim 12, wherein at least one of the plurality of DC bias voltages is received at the switch and another one of the plurality of DC bias voltages is received at the switch.

14. A method of operating a radio frequency power system, the method comprising:

generating, by a plurality of bias modules, a plurality of direct current, DC, bias voltages;

receiving current from the plurality of bias modules at a switch;

controlling, by the switch, a flow of the current from the plurality of bias modules to generate a radio frequency bias voltage signal;

receiving the radio frequency bias voltage signal at a first matching network;

providing at least a portion of an rf output voltage signal to an electrode of a substrate support in a processing chamber based on the rf bias voltage signal; and

controlling a state of the switch based on the radio frequency output voltage signal to shape a waveform of the radio frequency bias voltage signal.

15. The method of claim 14, further comprising:

generating a combined envelope signal based on the plurality of DC bias voltages;

receiving the combined envelope signal at a primary winding of a transformer; and

outputting the radio frequency bias voltage signal from a secondary winding of the transformer,

wherein the content of the first and second substances,

the switch is connected to the main winding; and

the first matching network is connected to the output of the transformer.

16. The method of claim 15, further comprising: causing current to flow from the plurality of biasing modules to the switch through a plurality of diodes, wherein the plurality of diodes are connected in series with the plurality of biasing modules and between the biasing modules and the main winding, respectively.

17. The method of claim 14, further comprising:

combining at least two of the plurality of DC bias voltages to generate a combined envelope signal; and

providing the combined envelope signal to the main winding.

18. The method of claim 14, further comprising:

maintaining the first biasing module in a conductive state; and

transitioning a second biasing module between an on state and an off state while the first biasing module is maintained in the on state,

wherein the plurality of biasing modules includes the first biasing module and the second biasing module.

19. The method of claim 14, further comprising:

generating a radio frequency source signal;

providing the radio frequency source signal to a second matching network; and

generating the radio frequency output voltage signal based on outputs of the first and second matching networks.

20. The method of claim 14, further comprising:

filtering the radio frequency output voltage signal to generate a detected radio frequency bias signal, wherein the detected radio frequency bias signal is representative of the at least a portion of the radio frequency output voltage signal; and

controlling the state of the switch based on the detected radio frequency bias signal.

21. The method of claim 20, further comprising:

controlling a state of one or more of the plurality of bias modules based on the detected radio frequency bias signal.

22. The method of claim 21, further comprising:

the switch is operated in a linear mode such that the switch is used as a variable resistor.

23. The method of claim 21, further comprising:

the switch is operated in a switching mode such that the switch is operated in a saturation region and transitions between an on state and an off state.

24. The method of claim 14, further comprising:

providing the plurality of DC bias voltages to the switch; and

providing an output of the switch to the first matching network.

25. The method of claim 14, further comprising:

at least one of the plurality of DC bias voltages is provided to the switch and another of the plurality of DC bias voltages is provided to the switch.

26. An rf power system for providing rf power to an electrode in a substrate support of a processing chamber, the rf power system comprising:

a processor; and

a memory, wherein the memory stores instructions executable by the processor and configured to:

signaling a plurality of bias modules to generate a plurality of dc bias voltages;

controlling a state of a switch to generate a radio frequency bias voltage signal based on the plurality of direct current bias voltages;

receiving a feedback signal comprising a portion of a radio frequency output signal generated via a plurality of matching networks based on the radio frequency bias voltage, wherein the feedback signal is indicative of the radio frequency voltage at the electrode, an

Controlling the state of the switch in accordance with the feedback signal to provide a predetermined ion energy distribution function.

27. The radio frequency power system of claim 26, wherein the instructions are further configured to:

selecting a radio frequency voltage mode to provide the ion energy distribution function; and

signaling the plurality of bias modules and controlling the state of the switch according to the selected radio frequency voltage mode.

28. The radio frequency power system of claim 27, wherein the instructions are further configured to:

estimating a sheath voltage or a voltage indicative of the sheath voltage based on the selected radio frequency voltage pattern;

determining the radio frequency bias voltage based on the sheath voltage or the voltage indicative of the sheath voltage;

determining the plurality of bias voltages and switching parameters based on the radio frequency bias voltage; and

controlling the state of the switch in accordance with the parameter to provide the radio frequency bias voltage signal.

29. The radio frequency power system of claim 26, wherein the instructions are further configured to:

predicting a voltage of the feedback signal based on historical values of the feedback signal for one or more previous cycles of the radio frequency bias voltage signal and corresponding parameter values of the radio frequency power system,

comparing a voltage of the feedback signal of a current cycle of the radio frequency bias voltage signal to the predicted voltage to provide a difference, wherein the feedback signal is a filtered version of the radio frequency output signal, an

Adjusting one or more of the plurality of DC bias voltages or operation of the switch based on the difference for a next cycle of the RF bias voltage signal.

30. The radio frequency power system of claim 29, wherein the instructions are further configured to:

obtaining one or more difference values for the previous one or more cycles of the radio frequency bias voltage signal, wherein each of the one or more difference values is a difference between a respective predicted voltage and a respective detected voltage of the feedback signal; and

adjusting one or more of the plurality of DC bias voltages or operation of the switch based on the one or more difference values for the next cycle of the RF bias voltage signal.

31. The radio frequency power system of claim 29, wherein the instructions are further configured to:

obtaining one or more adjustment values for the previous one or more cycles, wherein each of the one or more adjustment values corresponds to one of the plurality of DC bias voltages or operation of the switch; and

adjusting one or more of the plurality of DC bias voltages or operation of the switch based on the one or more adjustment values for the next cycle of the RF bias voltage signal.

32. The radio frequency power system of claim 26, wherein the instructions are further configured to adjust an on-time of one or more of the plurality of bias modules based on the feedback signal.

33. The radio frequency power system of claim 26, wherein the instructions are further configured to adjust a frequency and a duty cycle of the switch based on the feedback signal.

34. The radio frequency power system of claim 26, wherein the instructions are further configured to:

selecting a radio frequency voltage mode to provide the predetermined ion energy distribution function; and

selecting which of the plurality of bias modules to activate for a period of the RF bias voltage signal based on the feedback signal and the selected RF voltage pattern.

Technical Field

The present disclosure relates to an rf bias potential control system for a processing chamber.

Background

The background description provided herein is for the purpose of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.

Plasma etching is often used in semiconductor manufacturing. In plasma etching, ions are accelerated by an electric field to etch an exposed surface on a substrate. The electric field is generated based on a Radio Frequency (RF) power signal generated by an RF generator of the RF power system. The RF power signal generated by the RF generator must be precisely controlled to effectively perform the plasma etch.

The RF power system may include an RF generator, a matching network, and a load (e.g., a plasma chamber). The RF generator generates an RF power signal that is received at the matching network. The matching network matches an input impedance of the matching network to a characteristic impedance of a transmission line between the RF generator and the matching network. This impedance matching helps to maximize the power forwarded to the matching network ("forward power") and minimize the power reflected back from the matching network to the RF generator ("reverse power"). When the input impedance of the matching network matches the characteristic impedance of the transmission line, forward power can be maximized and reverse power can be minimized.

In the field of RF power supplies or power supplies, there are generally two methods of applying an RF signal to a load. The first, more conventional method is to apply a continuous wave signal to the load. In continuous wave mode, the continuous wave signal is typically a sine wave that is continuously output by the power supply to the load. In the continuous wave method, the RF signal employs a sinusoidal output, and the amplitude and/or frequency of the sinusoidal wave may be varied to vary the output power applied to the load.

A second method of applying the RF signal to the load includes pulsing the RF signal instead of applying a continuous wave signal to the load. In the pulsed mode of operation, the RF sinusoidal signal is modulated by a modulation signal to define an envelope for the modulated sinusoidal signal. In conventional pulse modulation schemes, the RF sinusoidal signal is typically output at a constant frequency and amplitude. The power delivered to the load is varied by varying the modulation signal rather than varying the sinusoidal RF signal.

In a typical RF power supply configuration, the output power applied to the load is determined by using sensors that measure the forward and reflected power or the voltage and current of the RF signal applied to the load. Either of these two sets of signals is analyzed in a typical control loop. The analysis typically determines a power value that is used to adjust the output of the RF power supply to vary the power applied to the load. In RF power delivery systems where the load is a plasma chamber, changes in load impedance result in corresponding changes in the power applied to the load, as the applied power depends in part on the impedance of the load.

In plasma systems, power is typically delivered in one of two configurations. In a first configuration, power is capacitively coupled to the plasma chamber. Such systems are known as Capacitively Coupled Plasma (CCP) systems. In a second configuration, power is inductively coupled to the plasma chamber. Such systems are commonly referred to as Inductively Coupled Plasma (ICP) systems. The plasma delivery system generally includes a bias power and a source power applied to one or more electrodes. Source power is typically used to generate the plasma, while bias power is typically used to tune the plasma to an energy level relative to the bias RF power level. The bias and source may share the same electrode or separate electrodes may be used, depending on various design considerations.

When the RF power delivery system drives a load in the form of a plasma chamber, the electric field generated by the power delivered to the plasma chamber generates ion energy within the chamber. The ion energy distribution is not uniform due to the drift/diffusion effect of the particles and the externally applied electric field. One characteristic measure of ion energy is the Ion Energy Distribution Function (IEDF). The Ion Energy Distribution Function (IEDF) at the substrate surface can be controlled by the RF waveform. Varying the plurality of RF signals related by frequency and phase may be one way to control the IEDF for a system in which a plurality of RF power signals are applied to a load. The frequency between the multiple RF power signals is locked and the relative phase between the multiple RF signals is also locked. Examples of such systems may be found in U.S. patent No. 7,602,127, U.S. patent No. 8,110,991, and U.S. patent No. 8,395,322, which are assigned to the assignee of the present invention and are incorporated herein by reference.

RF plasma processing systems include components for plasma generation and control. One such component is known as a plasma chamber or reactor. A typical plasma chamber or reactor used in RF plasma processing systems such as those used for thin film manufacturing uses a dual frequency system. One frequency (source) of the dual frequency system controls the plasma generation and the other frequency (bias) of the dual frequency system controls the ion energy. Examples of the dual-band system include systems described in the above-mentioned us patent No. 7,602,127, us patent No. 8,110,991, and us patent No. 8,395,322. The dual frequency system described in the above-referenced patent includes a closed loop control system for controlling the operation of the RF power supply to control the ion density and its corresponding IEDF.

There are a number of methods for controlling the plasma bias potential and hence the corresponding IEDF. These methods include: conventional low frequency sine wave biasing, harmonic lock free multiple sine wave biasing, harmonic lock multiple sine wave biasing, and shaped biasing to create a monotonic or custom IEDF. Each of these methods has associated disadvantages and/or limitations. For example, the low frequency sine wave bias method exhibits a bimodal IEDF (i.e., essentially two non-zero ion levels or absolute voltage potentials within a period of the RF bias voltage signal). A monotonic IEDF (i.e., essentially a single non-zero ion energy level or absolute voltage potential during a period of the RF bias voltage signal) for controlling etch profile and/or etch selectivity is preferred over a bimodal IEDF.

As another example, a harmonic controlled multiple sine wave bias approach may be implemented in high power implementations, but includes the use of large expensive generators that are difficult to separate from each other when operating at low bias RF frequencies. The multi-sine wave bias approach of harmonic control encounters transient high voltage peaks or zeros that affect the instantaneous ion energy levels due to variations in the instantaneous plasma sheath voltage.

The shaped bias method may be power limited and also include large expensive generators. A shaped bias method includes a wideband amplifier. It is difficult to properly match the nonlinear plasma impedance to the source impedance of the broadband amplifier. In addition, broadband amplifiers are typically power inefficient and expensive.

Another shaping bias method includes the use of a switched mode power supply and a current source for generating a bias potential. The method includes pulse width modulation and sine wave modulation and is limited in power and voltage due to the use of transistors in a half bridge configuration and the respective breakdown voltages of the transistors. At any time, only one transistor is on. It is also difficult to extend this approach for high power applications, such as High Aspect Ratio (HAR) plasma etch processes.

While the above-described systems are capable of achieving some degree of control over the plasma process, the ever-increasing demand for smaller components and increased throughput demands continue to improve upon the above-described methods.

Disclosure of Invention

A radio frequency power system is provided that includes a bias module, a switch, a matching network, and a control module. The bias modules are configured to generate direct current DC bias voltages, respectively. The switch is configured to (i) receive a current from the bias module, and (ii) control a flow of the current from the bias module to generate a radio frequency bias voltage signal. The matching network is configured to (i) receive the rf bias voltage signal, and (ii) provide at least a portion of an rf output voltage signal to an electrode of a substrate support in a process chamber based on the rf bias voltage signal. The control module is connected to the switch and configured to control a state of the switch based on the radio frequency output voltage signal to shape a waveform of the radio frequency bias voltage signal.

In other features, a method of operating a radio frequency power system is provided. The method comprises the following steps: generating a DC bias voltage by a bias module; receiving a current from the bias module at a switch; controlling, by the switch, a flow of the current from the bias module to generate a radio frequency bias voltage signal; receiving the radio frequency bias voltage signal at a first matching network; providing at least a portion of an rf output voltage signal to an electrode of a substrate support in a processing chamber based on the rf bias voltage signal; and controlling a state of the switch based on the radio frequency output voltage signal to shape a waveform of the radio frequency bias voltage signal.

In other features, an rf power system for providing rf power to an electrode in a substrate support of a processing chamber is provided. The radio frequency power system includes a processor and a memory. The memory stores instructions executable by the processor and configured to: signaling a bias module to generate a DC bias voltage; controlling a state of a switch to generate a radio frequency bias voltage signal based on the direct current bias voltage; receiving a feedback signal comprising a portion of a radio frequency output signal generated via a matching network based on the radio frequency bias voltage, wherein the feedback signal is indicative of a radio frequency voltage at the electrode, and controlling the state of the switch in accordance with the feedback signal to provide a predetermined ion energy distribution function.

Further areas of applicability of the present disclosure will become apparent from the detailed description, claims, and drawings. The detailed description and specific examples are intended for purposes of illustration only and are not intended to limit the scope of the present disclosure.

Drawings

The present disclosure will become more fully understood from the detailed description and the accompanying drawings, wherein:

fig. 1 is a schematic functional block diagram of an example of an RF power system;

FIG. 2 is a graph illustrating an example of a bias voltage signal, a composite source and the bias voltage signal, and a potential at an electrode of a substrate support;

fig. 3 is a schematic functional block diagram of an example of an RF power system including a transformer in accordance with an embodiment of the present disclosure;

fig. 4 is a diagram illustrating an example of a combined envelope voltage signal and a corresponding bias RF voltage signal according to an embodiment of the present disclosure;

fig. 5 is a schematic functional block diagram of another example of an RF power system without a transformer according to an embodiment of the present disclosure;

FIG. 6 is a functional block diagram of an example control module according to an embodiment of the present disclosure; and

fig. 7 illustrates an RF voltage generation method according to an embodiment of the present disclosure.

In the drawings, reference numbers may be reused to identify similar and/or identical elements.

Detailed Description

An RF voltage generation system for setting a voltage potential of, for example, an electrode in a substrate support (e.g., an electrostatic chuck) of a process chamber may include a source generator and a bias generator. An example of an RF power system 10 including a source generator 12 and a bias generator 14 is shown in fig. 1. The source generator generates a source RF voltage signal at a predetermined frequency, e.g., 10-100 megahertz (MHz). The bias generator generates a bias RF voltage signal at a predetermined frequency (e.g., 100-. The source generator and the bias generator have respective matching networks (e.g., matching circuits 16 and 18). For the bias generator, the matching network may be a simple low pass filter in series with a DC blocking capacitor. The outputs of the matching networks are combined to provide a composite voltage signal that is provided to an electrode in the substrate support. In the example of fig. 1, the outputs of the matching networks 16 and 18 are provided to a substrate support 20 (e.g., an electrostatic chuck or other substrate support) in a process chamber 22Struts) of the electrode 19. The substrate support supports a substrate (or wafer) 24. The substrate support may have a capacitance (e.g., an electrostatic capacitance C)ESCOr other capacitance). The source RF signal primarily generates plasma, and the bias RF signal provides a high negative sheath voltage to accelerate ions in the plasma in the processing chamber. The ions are directed toward a substrate disposed on a substrate support to perform an etching process and/or to create, for example, high aspect ratio features in the substrate.

Examples set forth herein include RF voltage generation systems that provide high power and shaped plasma sheath voltage waveforms that control the IEDF. The RF voltage generation system generates a smooth periodic and highly negative plasma sheath voltage while providing a monotonic IEDF. To provide a smooth periodic and highly negative bias RF voltage at the plasma sheath, the output voltages of the source generator and bias generator are predistorted to account for plasma coupling and parasitic components of the RF delivery system. Fig. 2 shows a predistorted bias voltage signal VRFBias30. Composite source and bias voltage signal Vcomposite32. And sheath voltage signal VRFSheath34. The voltage amplitude of the high frequency source signal from the source generator tends to be much smaller than the low frequency bias signal from the bias generator, so signals 32 and 34 are depicted as thick lines to represent the relatively low voltage amplitude of the source signal. The peak-to-peak voltage of the bias voltage signal 30 is, for example, 10,000V. The power associated with the sheath voltage signal 34 is, for example, 25-30 kilowatts (kW). The sheath voltage signal 34 represents the voltage potential at a point between the capacitance of the substrate support and the plasma.

Fig. 3 shows an RF power system 100 that includes a bias generator 101, a source generator 104, and matching networks 108 and 110. The bias generator 101 comprises a control module 102, a bias module 106, a diode D1-NTransformer T and switch SW. The source generator 104 generates a voltage having a voltage VRFSOURCEAnd a source RF voltage signal of a predetermined frequency (e.g., 60MHz or other frequency) that is provided to the matching network 108. As used herein, "voltage" refers to one or more voltages. Such as VRFSOURCEA specific voltage such asVarying with time, whereby the voltage VRFSOURCEThe reference voltage of (a) may vary. The bias module 106 functions as a Direct Current (DC) power supply and generates a corresponding DC voltage signal at a predetermined DC voltage. Accordingly, the bias module 106 may be referred to as a bias generator. In one embodiment, one biasing module 106 remains in an on state while one or more other biasing modules 106 are transitioned between the on and off states by the control module 102. The on and off times of one or more other bias modules 106 are determined by the control module 102. The outputs of the bias modules 106 are passed through diodes D, respectively1-DNWherein N is an integer greater than or equal to 2. The output of the biasing module 106 is connected to the input of the primary winding of the transformer T. In one embodiment, the bias module 106 functions as a parallel battery, such that the highest DC voltage output from the bias module 106 is provided to the input of the main winding. In other words, the output of one of the bias modules 106 having the highest DC voltage is provided to the input of the main winding. The biasing module 106 may include a corresponding DC power supply.

The transformer T includes a primary winding and a secondary winding. The output of the main winding is connected to a terminal of a switch, e.g., a Metal Oxide Semiconductor Field Effect Transistor (MOSFET). The switch SW is controlled by the control module 102. The control module 102 may operate the switch SW in a linear mode or a switch mode. During the linear mode, the switch SW is operated as a variable resistor and is used to implement a linear regulator. The control module 102 and the switch SW function as a high-speed RF voltage regulator. The voltage provided to the gate of switch SW from control module 102 during the linear mode is within the non-saturation region of switch SW. During the switching mode, the control module 102 provides a voltage to the gate of the switch SW to operate the switch SW in a saturation region. During the switching mode, the switch SW is switched between an ON (ON) and an OFF (OFF) state.

When the switch SW is in a partially conductive state or a fully conductive state, current flows from the bias module 106 through the diode1-NDC blocking capacitor CB1The main winding of the transformer T, and then through the switch SW to the reference (or ground) terminal 111. DC blocking capacitor CB1Preventing saturation of the transformer T. Flow ofThe current through the primary winding passes current through the secondary winding of the transformer T. A first end of the secondary winding is connected to a reference terminal 111 and a second end is connected to a matching network 110. Generating a voltage V between the input of the matching network 110 and the reference terminal 111RFBIASRF bias voltage signal. The transformer T is a step-up transformer and will therefore combine the envelope voltages VENVELOPETo the RF bias voltage VRFBIASThe amplitude of (c).

Matching networks 108 and 110 are provided as examples, and different types and/or configurations of matching networks may be incorporated into the RF power system 100 of fig. 3. The matching network 108 comprises a variable capacitor CS1、CS2And an inductor LS. The matching network 110 comprises a low-pass filter and a series-connected dc blocking capacitor CB2. Capacitor CS2And CB2Blocking a DC voltage associated with the plasma in the process chamber 112, which may be received at the outputs of the matching networks 108 and 110.

The outputs of the matching networks 108 and 110 are connected to each other and to the electrode 114 of the substrate support 116 and are provided with a voltage VRFOUTThe RF output voltage signal. The substrate support 116 supports a substrate 118 in the processing chamber 112. The outputs of the matching networks 108 and 110 are also connected to a detector 120. The detector 120 effectively filters the signal having a voltage VRFSOURCEAnd providing an RF voltage signal having a voltage VRFBIASAssociated voltage VRFBiasSenseThe detection voltage signal of (1). The detector 120 and corresponding connections provide a feedback loop for feedback control. The RF output voltage signal and the sense voltage signal may be referred to as feedback signals provided in a feedback loop. In one embodiment, detector 120 comprises a low pass filter or a band pass filter and is allowed to have a voltage VRFBIASAnd passing and detecting a frequency within a predetermined range of one or more frequencies of the RF bias voltage signal. In one embodiment, the detector 120 is implemented as a low pass filter or a band pass filter. In one embodiment, having a voltage VRFBIASThe frequency of the RF bias voltage signal of (1) is 400kHz, and the filter allows a frequency band centered at 400kHz to pass. At another placeIn one embodiment, the frequency of the RF bias voltage signal is 100kHz-2 MHz.

The control module 102 receives the voltage V in addition toRFBiasSenseBesides, the pulse synchronization signal SYNC may also be received. Control module 102 is based on voltage VRFBiasSenseAnd the pulse synchronization signal SYNC controls the bias module 106. For example, the timing and duration of the conduction period of one or more bias modules may be based on the voltage VRFBiasSenseAnd a pulse synchronization signal SYNC. The control module 102 may be based on the voltage VRFBiasSenseAnd the pulse synchronization signal SYNC controls the frequency and/or the duty cycle of the switch SW and thereby the voltage VRFBiasThe frequency and/or duty cycle of the RF bias voltage signal. Control of the DC bias voltage and the parameters of switch SW allows for precise shaping of the RF bias voltage signal and, thus, the RF voltage output signal and the resulting voltage signal received at electrode 114.

The RF power system 100 may also include a memory 130. Memory 130 may be used to store set, predetermined and/or sensed voltages and/or other operating parameters. The voltage may include V during each cycleENVELOPE、VRFBIAS、VRFOUT、VRFBiasSenseAnd the like. The period may refer to VRFBiasAnd/or VRFOUTThe period of (c). The parameter may comprise a voltage VRFSourceAnd parameters associated with the switch SW. The switching parameters may include frequency, duty cycle, on time, off time, and the like.

Having a voltage VENVELOPE、VRFBIASAn example of the voltage signal of (a) is shown in fig. 4. FIG. 4 shows a voltage VENVELOPEAnd has a voltage VRFBIASCorresponding RF bias voltage signal 152. A shaded (or cross-hatched) area 154 is shown between the voltage signals 150 and 152, which represents the energy dissipated by the linear mode operating switch SW of fig. 3. The output of the bias module 106 provides an envelope signal 150, which envelope signal 150 provides an outer envelope having a voltage higher than a corresponding RF bias voltage signal 152. The voltage signals 150 and 152 may be at a low frequency (e.g., 100kHz-2 MHz).

In one embodiment, the output of each bias module 106 provides a stepped voltage of the combined envelope voltage signal 150. In the example of fig. 4, the combined envelope voltage signal 150 includes two steps (or voltage levels) 156 and 158; one for each bias module. Since two or more bias modules may be included in the RF power system 100, the combined envelope voltage signal 150 may include any number of steps. The more bias modules and corresponding steps, the more matched the combined envelope voltage signal 150 and the corresponding RF bias voltage signal 152, and the less energy dissipated. By providing multiple DC voltage steps/levels, the RF power system 100 provides a custom shaped RF bias voltage signal 152. Since the RF power system 100 provides multiple bias voltage steps, the RF power system 100 may be referred to as a "piece-by-piece" or "segmented" RF power system. The same is true for the other RF power systems described below. Although the RF power system 100 is shown as a capacitively coupled RF system, the examples set forth herein may be applicable to other types of RF power systems, for example, inductively coupled RF systems. Also, although the outputs of the matching networks 108 and 110 are shown as being connected to the same electrode 114, the output of the matching network 108 may be provided to a different electrode than the output of the matching network 110. One of the electrodes to which one of the matching networks 108 and 110 is connected may be located outside of the substrate support. For example, one of the electrodes may be connected to a showerhead. The same is true for other RF power systems described below.

Fig. 5 shows a segmented RF power system 200 that includes a bias generator 201, a source generator 204, and matching networks 208 and 210. The bias generator 201 comprises a control module 202, a bias module 206, a diode D1-NAnd a switch SW. The bias generator 201 does not include a transformer. When the diode D is not needed1-NThe RF power system 200 may be used when boosting the voltage to the matching network 208. Control module 202, source generator 204, bias module 206, diode D1-NThe operation of the switch SW and matching networks 208 and 210 is similar to the control module 102, source generator 104, bias module 106, diode D of fig. 31-NSwitch SW and matching networks 108 and 110.

Source generator204 is generated to have a voltage VRFSOURCEAnd a source RF voltage signal of a predetermined frequency. The source RF voltage signal is provided to the matching network 208. The bias module 206 functions as a DC power supply and generates a corresponding bias DC voltage signal at a predetermined DC voltage. Accordingly, the bias module 206 may be referred to as a bias generator. The outputs of the bias modules 206 are respectively passed through diodes D1-DN. The output of the biasing module 206 is connected to the input terminal of the switch SW. In one embodiment, the bias module 206 functions as a pass diode D1-DNThe batteries are connected in parallel such that the highest DC voltage output from the biasing module 206 is provided to the input of the switch SW. In other words, the output of the bias module 206 having the highest DC voltage is provided to the input of the switch SW.

The switch may be a MOSFET or other suitable switch. The switch SW is controlled by the control module 202. The control module 202 may operate the switch SW in a linear mode or a switch mode. During the linear mode, the switch SW is operated as a variable resistor and is used to implement a linear regulator. The voltage provided to the gate of switch SW from control module 202 during the linear mode is in the non-saturation region of switch SW. During the switching mode, the control module 202 provides a voltage to the gate of the switch SW to operate the switch SW in a saturation region. During the switching mode, the switch SW is switched between an ON (ON) and an OFF (OFF) state.

When the switch SW is in a partially conductive state or a fully conductive state, current passes through the switch SW to the matching network 210. RF output voltage VRFBIASIs generated and provided to the input of the matching network 210. Combined envelope voltage VENVELOPEMay be equal to the voltage VRFBIASMinus the voltage drop across switch SW, which is negligible when switch SW is in the fully on state.

Matching networks 208 and 210 are provided as examples, and different types and/or configurations of matching networks may be incorporated into the RF power system 200 of fig. 5. The matching network 208 comprises a variable capacitor CS1、CS2And an inductor LS. The matching network 210 comprises a low pass filter and a dc blocking capacitor CB2. Capacitor with a capacitor elementDevice CS2And CB2Blocking a DC voltage associated with the plasma in the process chamber 212, which may be received at the outputs of the matching networks 208 and 210.

The outputs of the matching networks 208 and 210 are connected to each other and to an electrode 214 of a substrate support 216 and provided with a voltage VRFOUTThe RF output voltage signal. The substrate support 216 supports a substrate 218 in the processing chamber 212. The outputs of the matching networks 208 and 210 are also connected to a detector 220. The detector 120 effectively filters the signal having a voltage VRFSOURCEAnd providing an RF voltage signal having a voltage VRFBIASAssociated voltage VRFBiasSenseThe detection voltage signal of (1). The detector 220 and corresponding connections provide a feedback loop for feedback control. In one embodiment, detector 220 operates similar to filter 120 of FIG. 3, including a low pass filter or a band pass filter, and is allowed to have a voltage VRFBIASOf the RF bias voltage signal is passed at a frequency within a predetermined range of one or more frequencies. In one embodiment, the detector 220 is implemented as a low pass filter or a band pass filter. In one embodiment, the frequency of the RF bias voltage signal is 400kHz and the filter allows the passage and detection of a frequency band centered at 400 kHz. In another embodiment, the frequency of the RF bias voltage signal is 100kHz-2 MHz.

The control module 202 receives the voltage V in addition toRFBiasSenseBesides, the pulse synchronization signal SYNC may also be received. Control module 202 is based on voltage VRFBiasSenseAnd the pulse synchronization signal SYNC controls the bias module 206. For example, the timing and duration of the conduction period of one or more bias modules may be based on the voltage VRFBiasSenseAnd a pulse synchronization signal SYNC. The control module 202 may be based on the voltage VRFBiasSenseAnd the pulse synchronization signal SYNC controls the frequency and/or the duty cycle of the switch SW and thereby the voltage VRFBiasThe frequency and/or duty cycle of the RF bias voltage signal.

Fig. 6 shows a control module 250. The control modules 102 and 202 of fig. 3 and 5 may be implemented as a control module 250. The control module 250 may include a mode module 252, a sheath voltage module 254, a bias voltage module 256, a DC voltage module 258, a switch module 260, a prediction module 262, a current state module 264, a comparison module 266, and a convergence module 268. In an example embodiment, control module 250 includes a processor that executes code associated with modules 252, 254, 256, 258, 260, 262, 264, 266, 268. The operation of modules 250, 252, 254, 256, 258, 260, 262, 264, 266, 268 is described below in connection with the method of FIG. 7.

For further defined structures of the control modules of fig. 1, 3, 5 and 6, reference may be made to the method of fig. 7 provided below and the definition of the term "module" provided below. The systems disclosed herein may be operated using a variety of methods, with fig. 7 illustrating an exemplary RF voltage generation method. Although the following operations are described primarily with reference to the embodiments of fig. 3-6, these operations may be readily modified to apply to other embodiments of the present disclosure. These operations may be performed iteratively. Although the following operations are illustrated and described primarily as being performed sequentially, one or more of the following operations may be performed while one or more other operations are performed.

The method may begin at 300. At 302, control module 250 and/or mode module 252 may be VRFBias、VRFOUTAnd/or plasma sheath voltage selection RF voltage mode. The control module 250 may select the RF voltage mode to provide a predetermined IEDF (e.g., monotonic IEDF). Accordingly, the control module 250 may be referred to as an IEDF controller. The RF voltage pattern may be selected for a recipe and/or etch pattern to be followed during, for example, etching, cleaning, and/or other substrate processing operations.

At 304, based on the selected RF voltage mode and for the current cycle, control module 250 and/or sheath voltage module 254 may determine or select one or more plasma sheath voltages and/or voltages indicative of the sheath voltage, e.g., VRFOUTOne or more RF output voltages. At 306, based on the determined or selected one or more plasma sheath voltages and/or voltages indicative of the sheath voltages, the control module 250 and/or the bias voltage module 256 may be VRFBiasOne or more voltages are determined.

At 308, the control module 250 may base the voltage VRFBiasAnd/or other parameter determinations. The DC voltage module 258 may determine some parameter, such as a DC bias voltage of a bias module (e.g., bias modules 106, 206). The switching module 260 may determine other parameters, such as switching parameters. The prediction module 262 may also determine other parameters, such as VRFBiasSenseThe predicted voltage of (2). The predicted voltage may be based on VRFBiasSenseAnd corresponding determined and/or selected voltages and parameters. This information may be stored in a memory (e.g., one of memories 130, 230). In one embodiment, this information is stored in a table format that correlates the predicted voltage with other parameters.

At 310, the control module 250 and/or the DC voltage module 258 controls the bias module to generate a DC bias voltage to define an external RF bias voltage envelope to be followed. In one embodiment, one bias module remains on. For this embodiment, the control module 250 and/or the DC voltage module 258 may activate one or more other bias modules, depending on the respective steps provided in the current cycle. The number of bias modules activated in a cycle may depend on the complexity of the generated RF voltage waveform. The bias modules activated during the current cycle may be activated in a sequential manner. When one or more bias modules are activated, one or more other bias modules may be deactivated. Operation 310 may be performed while operation 312 is performed.

At 312, the control module 250 and/or the switch module 260 controls the state of the switch SW to provide the voltage having a VRFBiasRF bias voltage signal. This may include controlling the voltage provided to the gate of the switch, the on-time of the switch, the off-time and/or the duration of the switch in one or more states. An RF bias voltage signal is provided to an electrode of the substrate support via a matching network.

At 314, a filter (e.g., one of the filters 120, 220) receives the signal having a voltage VRFOUTAnd/or having an indication of plasmaOther detected voltage signals of the voltage of the bulk sheath voltage. In one embodiment, the voltage at the electrode is detected and provided to a filter. At 316, the filter filters the received voltage signal to provide a signal having a voltage VRFBiasSenseThe detection voltage signal of (1). Receiving a voltage V at a control moduleRFBiasSense

At 318, the control module 250 and/or the current state module 264 may store the voltage V in memoryRFBiasSenseAnd corresponding RF output voltage VRFOUTDC bias voltage, and switching parameters. At 320, the control module 250 and/or the comparison module 266 will detect the voltage VRFBiasSenseAnd a predicted voltage VRFBiasSenseA comparison is made to determine the difference for the current cycle. At 322, the control module 250 and/or the comparison module 266 may store the difference in memory.

At 324, the control module 250 and/or convergence module 268 adjusts one or more DC bias voltage and/or switch parameters for the next cycle based on (i) the difference for the current cycle, (ii) the difference for the previous cycle or cycles, and (iii) the adjustment based on the results of the previous cycle or cycles. Adjusting the DC bias voltage may include adjusting other parameters, such as the on time, off time, and/or duration of the on and off states of the bias module. At 326, the control module 250 and/or the convergence module 268 may store the adjusted DC bias voltage and/or other parameters adjusted during operation 324. Adjustments based on adjustments in voltage, parameters, and/or previous cycles provide a feed forward method of converging on the waveform of the selected RF voltage pattern. The error from the previous cycle is used to increase the convergence speed. Control module 250 and/or convergence module 268 act as a high speed regulator when receiving the output of the filter and making the adjustments.

At 328, the control module 250 may determine whether to generate another RF voltage cycle for the currently selected RF voltage mode. If another cycle of RF voltage is to be generated, operation 310 is performed, otherwise operation 330 may be performed. At 330, the control module 250 may determine whether another RF voltage mode is selected. If another RF voltage mode is to be selected, operation 302 may be performed, otherwise the method may end at 332.

The above-described operations are intended to be illustrative examples; these operations may be performed sequentially, concurrently, simultaneously, or consecutively, in overlapping time periods or in a different order depending on the application. Additionally, no operation may be performed or skipped depending on the implementation and/or order of events.

The RF power system disclosed herein includes fewer hardware components than conventional RF power systems, such as those previously described for sine wave bias, multiple sine wave bias, and wideband amplifier/shaping bias approaches. This reduces the associated operating costs and improves reliability. The disclosed RF power system also provides higher operating efficiency than multiple sine wave and wideband amplifier/shaping bias approaches. By using multiple DC bias modules, wasted heat can be reduced, which can be 90% efficient. The disclosed RF power system is suitable for high voltage (e.g., greater than or equal to-10,000V) applications and provides a monotonic IEDF for High Aspect Ratio (HAR) etching. HAR etching is used to fabricate 3D NAND flash memory devices, high density Dynamic Random Access Memory (DRAM) devices, and other memory devices, such as Phase Change Random Access Memory (PCRAM). In one embodiment, the RF power system may be implemented to precisely control low ion energy processes, such as atomic layer etching and atomic layer deposition processes. These processes are used in semiconductor logic processing and multi-pattern lithography processes.

The foregoing description is merely illustrative in nature and is in no way intended to limit the disclosure, its application, or uses. The broad teachings of the disclosure can be implemented in a variety of forms. Therefore, while this disclosure includes particular examples, the true scope of the disclosure should not be so limited since other modifications will become apparent upon a study of the drawings, the specification, and the following claims. It should be understood that one or more steps within a method may be performed in a different order (or simultaneously) without altering the principles of the present disclosure. Furthermore, although each of the above embodiments is described as having certain features, any one or more of the features described in any embodiment of the present disclosure may be implemented and/or combined in features of any other embodiment, even if the combination is not explicitly described. In other words, the described embodiments are not mutually exclusive and substitutions of one or more embodiments with respect to each other are still within the scope of the present disclosure.

Although the terms first, second, third, etc. may be used herein to describe various elements, components, circuits and/or modules, these elements, components, circuits and/or modules should not be limited by these terms. These terms are only used to distinguish one element, component, loop, circuit or module from another element, component, loop, circuit or module. Terms such as "first," "second," and other numerical terms used herein do not imply a sequence or order unless clearly indicated by the context. Thus, a first element, component, loop, circuit or module discussed below could be termed a second element, component, loop, circuit or module without departing from the teachings of the exemplary embodiments disclosed herein.

Various terms are used to describe spatial and functional relationships between elements (e.g., between modules, circuit elements, semiconductor layers, etc.), including "connected," joined, "" coupled, "" adjacent, "" immediately adjacent, "" above, "" below, "and" disposed. Unless explicitly described as "direct," when a relationship between a first element and a second element is described in the above disclosure, the relationship may be a direct relationship if there are no other intervening elements between the first element and the second element, but the relationship may also be an indirect relationship where there are one or more intervening elements (spatially or functionally) between the first element and the second element. As used herein, at least one of the phrases A, B and C should be construed to represent logic (AOR B OR C) using a non-exclusive logical OR (OR), and should not be construed to represent "at least one of a, at least one of B, and at least one of C. "

In the drawings, the direction of an arrow, as indicated by the arrow, generally illustrates the flow of information (e.g., data or instructions) of interest for the drawing. For example, when element a and element B exchange various information, but the information transmitted from element a to element B is related to the illustration, an arrow may point from element a to element B. This one-way arrow does not mean that no other information is transmitted from element B to element a. Further, for information sent from element a to element B, element B may send a request or receipt acknowledgement for the information to element a.

In this application, including the definitions below, the term "module" or the term "controller" may be replaced by the term "circuit". The term "module" may refer to, be part of, or include: an Application Specific Integrated Circuit (ASIC); digital, analog, or hybrid analog/digital discrete circuits; digital, analog, or hybrid analog/digital integrated circuits; a combinational logic circuit; a Field Programmable Gate Array (FPGA); processor circuitry (shared, dedicated, or group) that executes code; memory circuitry (shared, dedicated, or group) that stores code executed by the processor circuitry; other suitable hardware components that provide the above-described functionality; or a combination of some or all of the above, for example in a system on a chip.

The module may include one or more interface circuits. In some examples, the interface circuit may include a wired or wireless interface to a Local Area Network (LAN), the internet, a Wide Area Network (WAN), or a combination thereof. The functionality of any given module of the present disclosure may be distributed among multiple modules connected via interface circuits. For example, multiple modules may allow load balancing. In another example, a server (also referred to as a remote or cloud) module may perform certain functions on behalf of a client module.

As used above, the term code may include software, firmware, and/or microcode, and may refer to programs, routines, functions, classes, data structures, and/or objects. The term shared processor circuit includes a single processor circuit that executes some or all of the code in multiple modules. The term group processor circuit includes a processor circuit that, in combination with additional processor circuits, executes some or all of the code from one or more modules. References to multiple processor circuits include multiple processor circuits on discrete dies, multiple processor circuits on a single die, multiple cores of a single processor circuit, multiple threads of a single processor circuit, or a combination of the above. The term shared memory circuit includes a single memory circuit that stores some or all of the code from multiple modules. The term group memory circuit includes a memory circuit that stores some or all of the code from one or more modules in combination with other memory.

The term memory circuit is a subset of the term computer readable medium. As used herein, the term computer-readable medium does not include transitory electrical or electromagnetic signals propagating through a medium (e.g., on a carrier wave); thus, the term computer-readable medium may be considered tangible and non-transitory. Non-limiting examples of a non-transitory tangible computer-readable medium are a non-volatile memory circuit (e.g., a flash memory circuit, an erasable programmable read-only memory circuit, or a mask read-only memory circuit), a volatile memory circuit (e.g., a static random access memory circuit or a dynamic random access memory circuit), a magnetic storage medium (e.g., an analog or digital tape or hard drive), and an optical storage medium (e.g., a CD, DVD, or blu-ray disc).

The apparatus and methods described herein may be partially or completely implemented by a special purpose computer created by configuring a general purpose computer to perform one or more specific functions embodied in a computer program. The functional blocks, flowchart components, and other elements described above serve as software specifications, which can be converted into a computer program by routine work of a technician or programmer.

The computer program includes processor-executable instructions stored on at least one non-transitory tangible computer-readable medium. The computer program may also comprise or rely on stored data. The computer programs may include a basic input/output system (BIOS) that interacts with the hardware of the special purpose computer, a device driver that interacts with specific devices of the special purpose computer, one or more operating systems, user applications, background services, background applications, and the like.

The computer program may include: (i) descriptive text to be parsed, such as HTML (hypertext markup language), XML (extensible markup language), or json (javascript Object notification), (ii) assembly code, (iii) Object code generated by a compiler from source code, (iv) source code executed by an interpreter, (v) source code compiled and executed by a just-in-time compiler, and so on. By way of example only, the source code may be written using the syntax of the following language: C. c + +, C #, Objective-C, Swift, Haskell, Go, SQL, R, Lisp,

Figure BDA0002287200390000141

Fortran、Perl、Pascal、Curl、OCaml、

Figure BDA0002287200390000142

HTML5 (HyperText markup language version 5), Ada, ASP (active Server Page), PHP (PHP: HyperText preprocessor), Scala, Eiffel, Smalltalk, Erlang, Ruby, Ada, Adp,

Figure BDA0002287200390000143

Lua, MATLAB, SIMULINK and

all elements described in the claims are not intended to be device plus function elements within the meaning of 35u.s.c. § 112(f), unless the phrase "means for … …" is used to expressly state an element or in the case of method claims the phrase "operation against … …" or "step for … …".

22页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:化合物半导体基板和其制造方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类