Method for forming photoresist pattern

文档序号:1649061 发布日期:2019-12-24 浏览:23次 中文

阅读说明:本技术 形成光阻图案的方法 (Method for forming photoresist pattern ) 是由 訾安仁 林进祥 张庆裕 于 2019-03-14 设计创作,主要内容包括:一种形成光阻图案的方法,包括于形成于基板上的光阻之上形成保护层,以及选择性地将保护层和光阻层暴露于光化辐射。显影保护层和光阻层以形成图案于光阻层中,并去除保护层。保护层包括具有侧链碳氟化合物基团和侧链酸离去基团的聚合物。(A method of forming a photoresist pattern includes forming a protective layer over a photoresist formed on a substrate, and selectively exposing the protective layer and the photoresist layer to actinic radiation. Developing the protective layer and the photoresist layer to form a pattern in the photoresist layer, and removing the protective layer. The protective layer includes a polymer having pendant fluorocarbon groups and pendant acid leaving groups.)

1. A method of forming a photoresist pattern, comprising:

forming a protective layer on a photoresist layer on a substrate;

selectively exposing the protective layer and the photoresist layer to actinic radiation;

developing the protective layer and the photoresist layer to form a pattern in the protective layer and the photoresist layer; and

the protective layer is removed, and the passivation layer is removed,

wherein the protective layer comprises a polymer having a plurality of pendant fluorocarbon groups and a plurality of pendant acid leaving groups.

Technical Field

The present disclosure relates to a photoresist composition, and more particularly, to a photoresist composition and a method of forming a photoresist pattern in a semiconductor process.

Background

In response to consumer demand, consumer electronic products are becoming smaller and smaller, and the size of the individual components of these products is necessarily reduced. Many semiconductor devices, which constitute the main components of devices such as mobile phones, tablet computers, etc., have been pressed to be smaller, and in response to the pressure to shrink the size of the devices, the individual components (e.g., transistors, resistors, capacitors, etc.) within the semiconductor must also shrink in size.

A viable technique in the fabrication of semiconductor devices is to use photosensitive materials. These materials are applied to a surface and then exposed to an energy to pattern the surface itself. This exposure modifies the chemical and physical properties of the exposed regions of the photosensitive material. This modification, as well as the non-modification in the unexposed photosensitive material regions, can be used to remove one region without removing another.

However, as the device size decreases, the process window of the photolithography process becomes narrower. Therefore, advances in the field of lithography technology are necessary to maintain the ability to shrink devices, and further improvements are needed to meet desired design criteria to keep moving towards ever smaller devices.

Extreme ultraviolet lithography (EUVL) has been developed to form smaller semiconductor device feature sizes and to increase the device density on semiconductor wafers. As device features shrink, defect elimination becomes more important. Defects may form through the process by absorbing contaminants in the photoresist, such as particles, moisture, and ammonia.

Disclosure of Invention

In one aspect of the present disclosure, a method of forming a photoresist pattern is provided, comprising: forming a protective layer on the photoresist layer; selectively exposing the protective layer and the photoresist layer to actinic radiation; developing the protective layer and the photoresist layer to form a pattern in the protective layer and the photoresist layer; and removing the protective layer, wherein the protective layer comprises a polymer having pendant fluorocarbon groups and pendant acid leaving groups.

Drawings

The detailed description of the embodiments of the disclosed invention will be best understood when read in conjunction with the appended drawings. It should be noted that, in accordance with standard practice in the industry, the various features are not drawn to scale and are used for illustrative purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion. Like features are indicated with the same reference numerals in the description and drawings.

FIG. 1 depicts a process flow according to an embodiment of the present disclosure;

FIGS. 2A and 2B illustrate processing stages of sequential operations according to embodiments of the present disclosure;

3A, 3B, 3C, and 3D illustrate processing stages of sequential operations according to embodiments of the present disclosure;

FIG. 4 depicts processing stages of a sequential operation according to an embodiment of the present disclosure;

FIG. 5 depicts processing stages of a sequential operation, according to an embodiment of the present disclosure;

FIGS. 6A and 6B illustrate processing stages of a sequential operation according to an embodiment of the present disclosure; FIGS. 6C and 6D illustrate processing stages of sequential operations according to further embodiments of the present disclosure;

FIG. 7 depicts processing stages of sequential operations according to an embodiment of the present disclosure;

FIG. 8 depicts processing stages of sequential operations according to an embodiment of the present disclosure;

FIG. 9 depicts a polymer resin, according to an embodiment of the present disclosure;

FIG. 10 depicts a polymer resin, according to an embodiment of the present disclosure;

FIG. 11 depicts a process flow according to an embodiment of the present disclosure;

FIG. 12 depicts processing stages of sequential operations according to an embodiment of the present disclosure;

FIG. 13 depicts processing stages of a sequential operation, according to an embodiment of the present disclosure;

FIG. 14 depicts processing stages of sequential operations according to an embodiment of the present disclosure;

FIG. 15 depicts processing stages of sequential operations according to an embodiment of the present disclosure;

FIG. 16 depicts processing stages of sequential operations according to an embodiment of the present disclosure;

FIG. 17 shows processing stages of sequential operations according to an embodiment of the present disclosure.

Detailed Description

It is to be understood that the following disclosure provides many different embodiments, or examples, for implementing different features of the disclosure. Specific embodiments or examples of the various elements and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the dimensions of the elements are not limited to the disclosed ranges or values, but may depend on the processing conditions and/or desired characteristics of the elements. Furthermore, in the description that follows, a first feature may be formed over or on a second feature, which may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. Various features may be arbitrarily drawn in different scales for simplicity and clarity.

Furthermore, spatially relative terms, such as "below," "beneath," "below," "above," "over," and the like, may be used herein to facilitate describing one element or feature as related to another element or feature as illustrated in the figures. Spatially relative terms are intended to encompass different orientations of the device or operation in use in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly. In addition, the phrase "made of" can mean "comprising" or "consisting of".

Fig. 1 illustrates a process flow 100 for fabricating a semiconductor device according to an embodiment of the present disclosure. In some embodiments, a photoresist is coated on the surface of the layer to be patterned or the substrate 10 in operation S110 to form a photoresist layer 15, as shown in fig. 2A and 2B. As shown in fig. 2B, the photoresist includes a protective polymer 20 that forms a protective layer over the photoresist layer 15. The photoresist/protective polymerization mixture is applied by a dispenser 25. The substrate 10 is rotated at or immediately after the photoresist/protective polymeric mixture is provided. While the substrate is rotated, the protective polymer is separated from the photoresist composition and forms a protective layer 20 over the photoresist layer 15. In some embodiments, the protective polymer separates from the mixture due to hydrophobicity relative to the photoresist. The protective layer 20 prevents contaminants, including particulates, moisture and ammonia, from being absorbed or impregnated into the photoresist layer 15.

Next, in some embodiments, the photoresist layer 15 and the protective layer 20 are subjected to a first baking operation to evaporate the solvent in the photoresist composition. The photoresist layer 15 and the protective layer 20 are baked at a temperature and for a time sufficient to cure and dry the photoresist layer 15 and the protective layer 20. In some embodiments, the layer is heated to a temperature of about 40 ℃ and 200 ℃, for about 10 seconds to about 10 minutes.

In other embodiments, operation S110 coats a photoresist 15 on the surface of the layer to be patterned or the substrate 10 to form the photoresist layer 15, as shown in fig. 3A and 3B. As explained with respect to fig. 2A, the photoresist is applied by a dispenser 25. The substrate 10 is rotated immediately after or after the photoresist is provided. Next, in some embodiments, the photoresist layer 15 is subjected to a first baking operation to evaporate the solvent in the photoresist composition. In some embodiments, the photoresist layer 15 is heated to a temperature of about 40 ℃ and 200 ℃ for about 10 seconds to about 10 minutes.

After the first baking operation, the protective layer 20 is coated on the photoresist layer 15. As shown in fig. 3C and 3D. The protective layer 20 is a protective polymeric composition 20 applied from a dispenser 27 as shown in fig. 3C. The substrate 10 is rotated at or immediately after the protective polymeric composition is provided.

Next, in some embodiments, the protective layer 20 is subjected to a baking operation to evaporate the solvent in the protective polymeric composition. The protective layer 20 is baked at a temperature and for a time sufficient to cure and dry the protective layer 20. In some embodiments, the photoresist layer is heated to a temperature of about 40 ℃ and 200 ℃, for about 10 seconds to about 10 minutes.

After the photoresist layer 15 and the protective layer 20 have undergone the baking operation, the photoresist layer 15 and the protective layer 20 are selectively exposed to an exposure radiation 45 (see fig. 4) in operation S130. In some embodiments, the ultraviolet radiation is deep ultraviolet radiation. In some embodiments, the ultraviolet radiation is Extreme Ultraviolet (EUV) radiation. In some embodiments, the radiation is an electron beam. In some embodiments, the thickness of protective layer 20 is sufficiently thin that protective layer 20 does not adversely affect the exposure of photoresist layer 15 to radiation 45. In some embodiments, the protective layer has a thickness ranging from about 0.1nm to about 20 nm. In some embodiments, the thickness of the protective layer is from about 1nm to about 15 nm. In some embodiments, the protective layer has a contact angle with water of greater than 75 °.

As shown in fig. 4, in some embodiments, exposure radiation 45 passes through reticle 30 before irradiating protective layer 20 and photoresist layer 15. In some embodiments, the mask has a pattern that is replicated in the protective layer 20 and the photoresist layer 15. In some embodiments, the pattern is an opaque pattern 35 formed on the mask substrate 40. The opaque pattern 35 may be formed of a material opaque to ultraviolet radiation, such as chrome, and the mask blank 40 may be formed of a material transparent to ultraviolet radiation, such as fused silica.

The radiation exposed areas 50 and 50 'of the photoresist layer and the protective layer chemically react, thereby changing their solubility to a later applied developer, as compared to the areas 52 and 52' of the photoresist layer and the protective layer that were not exposed to radiation. In some embodiments, portions 50 of the photoresist layer and portions 50' of the protective layer exposed to the radiation undergo a crosslinking reaction.

Next, in operation S140, the photoresist layer 15 and the protection layer 20 are subjected to a post exposure bake. In some embodiments, the light-blocking layer 15 and the protective layer 20 are heated to a temperature of about 50 ℃ and 160 ℃, for about 20 seconds to about 120 seconds. A post-exposure bake may be used to help the radiation 45 strike the protective layer 20 or the photoresist layer 15 during exposure to generate acids/bases/radicals, which generate, disperse, or react. This heat assist helps to initiate or enhance a chemical reaction that creates a chemical difference between the exposed 50, 50 'and unexposed 52, 52' regions of the photoresist layer or protective layer. These chemical differences also result in a difference in solubility between the exposed 50 and unexposed 52 regions.

Subsequently, in operation S150, a developing solution is applied to the selectively exposed photoresist layer to develop the selectively exposed protective layer and the photoresist layer. As shown in fig. 5, the developing solution 57 is supplied from the dispenser 62 onto the protective layer 20 and the photoresist layer 15. In some embodiments, the exposed portions 50' of the protective layer remain on the photoresist layer 15, while the unexposed portions are removed upon development, as shown in FIG. 6A. In some embodiments, exposed portions 50' of the protective layer 20 may remain on exposed portions of the photoresist layer 50 after development, as shown in FIG. 6B. While the remaining portion 50' of the protective layer is subsequently removed using a suitable stripper after developing the photoresist layer 15. In some embodiments, a first developer is used to develop the protective layer 20, and then a second, different developer is used to develop the photoresist layer 15.

In other embodiments, the exposed portions 50 'of the protective layer are removed upon development, and the unexposed portions 52' remain on the photoresist layer 15 after development, as shown in FIG. 6C. Once developed, the exposed portions of the photoresist layer 15 are removed, exposing the layer or substrate 10 to be patterned, as shown in FIG. 6D. In some embodiments, a first developer is used to develop the protective layer 20, and then a second, different developer is used to develop the photoresist layer 15.

In fig. 6B, the protective layer 20 remaining on the photoresist layer 15 is then removed using a suitable stripper to expose the upper surface of the photoresist layer 15, as shown in fig. 7.

In some embodiments, the pattern of openings 55 in the photoresist layer 15 extends into the layer to be patterned or the substrate 10 to create a pattern of openings 55' in the substrate 10, thereby transferring the pattern in the photoresist layer 15 into the substrate 10, as shown in fig. 8. The pattern is extended into the substrate by etching using one or more suitable etchants. In some embodiments, the exposed portion 50 of the photoresist layer is at least partially removed during the etching process. In other embodiments, after the layer to be patterned or the substrate 10 is etched, the exposed photoresist layer 50 is removed by using a suitable photoresist stripper or by a photoresist ashing operation.

In some embodiments, the substrate 10 comprises a monocrystalline semiconductor layer on at least a portion of the substrate surface. The substrate 10 may include a single crystal semiconductor material such as, but not limited to, Si, Ge, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, and InP. In some embodiments, the substrate 10 is a silicon layer of a silicon-on-insulator (SOI) substrate. In some embodiments, the substrate 10 is made of crystalline Si.

The substrate 10 may include one or more buffer layers (not shown) in its surface area. The buffer layer may be used to gradually change the lattice constant of the substrate to that of the subsequently formed source/drain regions. The buffer layer may be formed from an epitaxially grown single crystal semiconductor material, such as, but not limited to, Si, Ge, GeSn, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, GaN, GaP, and InP. In one embodiment, a silicon germanium (SiGe) buffer layer is epitaxially grown on the silicon substrate 10. The germanium concentration of the SiGe buffer layer may increase from 30 atomic% of the bottom-most buffer layer to 70 atomic% of the top-most buffer layer.

In some embodiments, the substrate 10 comprises at least one metal, metal alloy, and/or metal alloy having MXaA metal/nitride/sulfide/oxide/silicide of the formula wherein M is a metal, X is nitrogen, sulfur, selenium, oxygen, silicon, and a is from about 0.4 to about 2.5. In some embodiments, the substrate 10 comprises titanium, aluminum, cobalt, ruthenium, titanium nitride, tungsten nitride, tantalum nitride, and combinations thereof.

In some embodiments, the substrate 10 includes a dielectric having at least the formula MXbWherein M is metal or silicon, X is nitrogen or oxygen, and b ranges from about 0.4 to about 2.5. In some embodiments, titanium, aluminum, hafnium, zirconium, and lanthanum are suitable metals M. In some embodiments, the substrate 10 comprises silicon dioxide, silicon nitride, aluminum oxide, hafnium oxide, lanthanum oxide, and combinations thereof.

The photoresist layer 15 is a photosensitive layer that is patterned by exposure to actinic radiation. Generally, the chemistry of the photoresist regions irradiated by the incident radiation varies with the type of photoresist used. The photoresist layer 15 is typically a positive photoresist or a negative photoresist. Conventionally, positive photoresists are photoresist materials that become soluble in a developer upon exposure to radiation (typically UV light), while unexposed (or less exposed) areas of the photoresist are insoluble in the developer. Negative photoresist, on the other hand, generally refers to a photoresist material that becomes insoluble in a developer solution when exposed to radiation, while unexposed (or less exposed) areas of the photoresist are soluble in the developer solution. The negative photoresist region, which becomes insoluble upon exposure to radiation, may become insoluble due to a crosslinking reaction caused by exposure to radiation.

Whether the photoresist is a positive photoresist or a negative photoresist may depend on the type of developer used to develop the photoresist. For example, when the developer is an aqueous developer, such as a tetramethylammonium hydroxide (TMAH) solution, some positive photoresists provide positive patterns (i.e., the exposed areas are removable by the developer). On the other hand, when the developer is an organic solvent, the same photoresist provides a negative pattern (i.e., the unexposed areas are removed by the developer). In addition, in some negative resists developed with TMAH solutions, the unexposed areas of the resist are removed by TMAH and the exposed areas of the resist that undergo a crosslinking reaction upon exposure to actinic radiation remain on the substrate after development.

In some embodiments, photoresists according to the present disclosure include a polymer resin and one or more photoactive compounds (PACs) in a solvent. In some embodiments, the polymer resin has a hydrocarbon structure (e.g., a cycloaliphatic hydrocarbon structure) that includes one or more groups that can be separated (e.g., acid labile groups or acid leaving groups), or groups that would otherwise react when mixed with an acid, base, or free radical generated by PAC (as described further below). In some embodiments, the hydrocarbon structure comprises a repeating unit that forms the backbone of the polymer resin. The repeating units may include acrylates, methacrylates, crotonates, vinyl esters, maleic diesters, fumaric diesters, itaconic diesters, methacrylonitrile, methacrylamide, styrene, vinyl ethers, combinations of these, and the like.

In some embodiments, the photoresist comprises a polymer resin having an acid leaving group selected from the group consisting of:

in some embodiments, specific structures for the repeating units of the hydrocarbon structure include one or more of the following structures: methyl acrylate, ethyl acrylate, n-propyl acrylate, isopropyl acrylate, n-butyl acrylate, isobutyl acrylate, tert-butyl acrylate, n-hexyl acrylate, 2-ethylhexyl acrylate, acetoxyethyl acrylate, phenyl acrylate, 2-hydroxyethyl acrylate, 2-methoxyethyl acrylate, 2-ethoxyethyl acrylate, 2- (2-methoxyethoxy) ethyl acrylate, cyclohexyl acrylate, benzyl acrylate, 2-alkyl-2-adamantyl methacrylate (2-alkyl-2-adamantyl (meth) acrylate) or dialkyl methacrylate (1-adamantyl) methacrylate), methyl methacrylate, ethyl methacrylate, n-propyl methacrylate, isobutyl acrylate, tert-butyl acrylate, n-hexyl acrylate, 2-ethylhexyl acrylate, 2-methoxyethoxy acrylate, cyclohexyl acrylate, benzyl acrylate, 2-alkyl-2-adamantyl (meth) acrylate, methyl methacrylate, ethyl methacrylate, n-propyl methacrylate, n-butyl, Isopropyl methacrylate, n-butyl methacrylate, isobutyl methacrylate, t-butyl methacrylate, n-hexyl methacrylate, 2-ethylhexyl methacrylate, acetoxyethyl methacrylate, phenyl methacrylate, 2-hydroxyethyl methacrylate, 2-methoxyethyl methacrylate, 2-ethoxyethyl methacrylate, 2- (2-methoxyethoxy) ethyl methacrylate, cyclohexyl methacrylate, benzyl methacrylate, 3-chloro-2-hydroxypropyl methacrylate, 3-acetoxy-2-hydroxypropyl methacrylate, 3-chloroacetyloxy-2-hydroxypropyl methacrylate, butyl crotonate (butylcrotonate) or hexyl crotonate (hexyl crotonate), and the like. Examples of vinyl esters include vinyl acetate, vinyl propionate, vinyl butyrate, vinyl methoxyacetate, vinyl benzoate, dimethyl maleate, diethyl maleate, dibutyl maleate, diethyl itaconate, dibutyl itaconate, acrylamide, methacrylamide, ethylacrylamide, propylacrylamide, n-butylacrylamide, t-butylacrylamide, cyclohexylacrylamide, 2-methoxyethylacrylamide, dimethylacrylamide, diethylacrylamide, phenylacrylamide, benzyl acrylamide, methacrylamide, methylmethacrylamide, dimethylacrylamide, butylacrylamide, dibutylacrylamide, butylacrylamide, 2-methoxyethylacrylamide, dimethylacrylamide, diethylacrylamide, benzyl acrylamide, methacrylamide, dimethylmethacrylamide, dimethylfumarate, and dimethylfumarate, Ethyl methacrylamide, propyl methacrylamide, n-butyl methacrylamide, t-butyl methacrylamide, cyclohexyl methacrylamide, 2-methoxyethyl methacrylamide, dimethyl methacrylamide, diethyl methacrylamide, phenyl methacrylamide, benzyl methacrylamide, methyl vinyl ether, butyl vinyl ether, hexyl vinyl ether, methoxyethyl vinyl ether or dimethylaminoethyl vinyl ether and the like. Examples of styrene include styrene, methylstyrene, dimethylstyrene, trimethylstyrene, ethylstyrene, isopropylstyrene, butylstyrene, methoxystyrene, butoxystyrene, acetoxystyrene, chlorostyrene, dichlorostyrene, bromostyrene, vinyl benzoate, α -methylstyrene, maleimide (maleimide), vinylpyridine, vinylpyrrolidone, vinylcarbazole, or combinations thereof, and the like.

In some embodiments, the repeating units of the hydrocarbon structure also have a monocyclic or polycyclic hydrocarbon structure substituted thereon, or the monocyclic or polycyclic hydrocarbon structure is a repeating unit, to form an alicyclic hydrocarbon structure. In some embodiments, specific examples of monocyclic structures include bicycloalkanes, tricycloalkanes, tetracycloalkanes, cyclopentane or cyclohexane, and the like. In some embodiments, specific examples of polycyclic structures include adamantane (adamantane), norbornane (norbonane), isobornane (isobornane), tricyclodecane or tetracyclododecane, and the like.

The group that will decompose (also known as a leaving group) is attached to the hydrocarbon structure so that it reacts with the acid/base/free radical generated by the PAC during exposure. The leaving group that reacts with the acid is referred to as the acid leaving group. In some embodiments, the leaving group is a carboxylic acid group, a fluorinated alcohol group, a phenolic alcohol group, a sulfonic acid group, a sulfonamide group, a sulfonimide group, an (alkylsulfonyl) (alkylcarbonyl) methylene, an (alkylsulfonyl) (alkylcarbonyl) imino, a bis (alkylcarbonyl) methylene, a bis (alkylcarbonyl) imino, a bis (alkylsulfonyl) methylene, a bis (alkylsulfonyl) imino, a tris (alkylcarbonyl) methylene, a tris (alkylsulfonyl) methylene, or combinations thereof, and the like. In some embodiments, particular groups for fluorinated alcohol groups include fluorinated hydroxyalkyl groups, such as hexafluoroisopropanol groups. Specific groups for the carboxylic acid group include acrylic groups or methacrylic groups, and the like.

In some embodiments, the Acid Leaving Group (ALG) is separated by the action of the acid generated by the photoacid generator, leaving the carboxylic acid group pendant to the polymer resin chain, as shown in the ALG deprotection reaction below:

in some embodiments, the polymer resin also includes other groups attached to the hydrocarbon structure that help improve various properties of the polymerizable resin. For example, the inclusion of lactone groups in the hydrocarbon structure helps to reduce line edge roughness after the photoresist is developed, thereby helping to reduce the number of defects that occur during development. In some embodiments, the lactone group includes a ring having 5-7 carbons, but any suitable lactone structure may alternatively be used for the lactone group.

In some embodiments, the polymer resin includes groups that can help increase adhesion between the photoresist layer 15 and an underlying structure (e.g., the substrate 10). Polar groups can be used to help increase tack. Suitable polar groups include hydroxy, cyano, or the like, but any suitable polar group may be used.

The polymer resin may optionally include one or more alicyclic hydrocarbon structures, which in some embodiments do not contain groups that can separate, including the following structures: 1-adamantyl methacrylate, tricyclodecyl methacrylate, cyclohexyl methacrylate, combinations thereof, and the like.

In addition, some embodiments of the photoresist include one or more photoactive compounds (PACs). PAC is an optically active ingredient such as a photoacid generator, a photobase generator, a radical generator, and the like. PACs may be positive-acting or negative-acting. In some embodiments where the PAC is a photoacid generator, the PAC includes halogenated triazines (halogenated triazines), onium salts, diazonium salts, aromatic diazonium salts, phosphonium salts, sulfonium salts, iodonium salts, imide sulfonates, oxime sulfonates, diazodisulfones, disulfones, nitrobenzyl sulfonates, sulfonated esters, halosulfonyloxy dicarboximides, diazodisulfones, α -cyanooxyamine sulfonates, imide sulfonates, ketodiazosulfones, sulfonyldiazates, 1, 2-diarylsulfonylhydrazides, nitrobenzyl esters, and sym-triazine derivatives, or combinations thereof and the like.

Specific examples of the photoacid generator include α - (trifluoromethylsulfonyloxy) -bicyclo [2.2.1] hept-5-ene-2, 3-dicarboximide (MDT), N-hydroxynaphthalimide (DDSN), benzoin tosylate, t-butylphenyl- α - (p-toluenesulfonyloxy) -acetic acid methyl ester, and t-butyl- α - (p-toluenesulfonyloxy) -acetic acid methyl ester, triarylsulfonium and diaryliodohexafluoroantimonate, hexafluoroarsenate, trifluoromethanesulfonate, iodonium perfluorooctanesulfonate, N-camphorsulfonyloxynaphthalimide, N-pentafluorobenzenesulfonylnaphthalimide, ionic iodonium sulfonates such as diaryliodonium (alkyl or aromatic) sulfonate and bis- (di-t-butylphenyl) iodonium sulfonyl sulfonate, Perfluoroalkylsulfonates such as perfluoropentane sulfonate, perfluorooctane sulfonate, perfluoromethane sulfonate, aryl (e.g. phenyl or benzyl) trifluoromethanesulfonate, such as triphenylsulfonium trifluoromethanesulfonate or bis- (tert-butylphenyl) iodonium trifluoromethanesulfonate; pyrogallol derivatives (for example, trimesylate of pyrogallol), trifluoromethanesulfonate of hydroxyimide, α' -bissulfonyldiazomethane, sulfonate of nitro-substituted benzyl alcohol, naphthoquinone-4-diazide or alkyl disulfone, and the like.

According to an embodiment of the present disclosure, the structure of the photoacid generator includes:

in some embodiments where the PAC is a free radical generator, the PAC comprises N-phenylglycine; aromatic ketones including benzophenone, N '-tetramethyl-1, 4,4' -diaminobenzophenone, N '-tetraethyl-4, 4' -diaminobenzophenone, 4-methoxy-4 '-dimethylaminobenzophenone, 3' -dimethyl-4-methoxybenzophenone, 4,4 '-bis (dimethylamino) benzophenone, 4,4' -bis (diethylamino) benzophenone; anthraquinone, 2-ethyl anthraquinone naphthoquinone; and phenanthrenequinone; benzoins including benzoin, benzoin methyl ether, benzoin isopropyl ether, benzoin-n-butyl ether, benzoin-phenyl ether, methyl benzoin, and ethyl benzoin; benzyl derivatives, including dibenzyl, benzyldiphenyl disulfide and benzyldimethyl ketal; acridine derivatives including 9-phenylacridine and 1, 7-bis (9-acridinyl) heptane; thioxanthones, including 2-chlorothioxanthone, 2-methylthioxanthone, 2, 4-diethylthioxanthone, 2, 4-dimethylthioxanthone, and 2-isopropylthioxanthone; acetophenones including 1, 1-dichloroacetophenone, p-tert-butyldichloroacetophenone, 2-diethoxyacetophenone, 2-dimethoxy-2-phenylacetophenone and 2, 2-dichloro-4-phenoxyacetophenone; 2,4, 5-triarylimidazole dimers including 2- (o-chlorophenyl) -4, 5-diphenylimidazole dimer, 2- (o-chlorophenyl) -4, 5-bis- (m-methoxyphenyl imidazole dimer, 2- (o-fluorophenyl) -4, 5-diphenylimidazole dimer, 2- (o-methoxyphenyl) -4, 5-diphenylimidazole dimer, 2- (p-methoxyphenyl) -4, 5-diphenylimidazole dimer, 2, 4-bis (p-methoxyphenyl) -5-phenylimidazole dimer, 2- (2, 4-dimethoxyphenyl) -4, 5-diphenylimidazole dimer and 2- (p-methylmercaptophenyl) -4, 5-diphenylimidazole dimer, combinations thereof, and the like.

In some embodiments where the PAC is a photobase generator, the PAC includes quaternary ammonium dithiocarbamates, alpha aminoketones, oxime-urethane containing molecules such as dibenzobenzoximehxamethylenediurea, tetravanadyl borate ammonium salts, and N- (2-nitrobenzyloxycarbonyl) cyclic amines, combinations thereof, and the like.

As will be appreciated by those of ordinary skill in the art, the chemical compounds listed herein are intended only as illustrative examples of PACs and are not intended to limit embodiments to only those PACs specifically described. However, any suitable PAC may be used, and all such PACs are intended to be included within the scope of the present embodiments.

In some embodiments, a cross-linking agent is added to the photoresist. The crosslinking agent reacts with a portion of the groups of the hydrocarbon structure in the polymer resin and also reacts with a second group of another hydrocarbon structure to crosslink and bond the two hydrocarbon structures together. Such bonding and crosslinking increases the molecular weight of the polymer product of the crosslinking reaction and increases the overall link density of the photoresist. The increase in density and link density contributes to the improvement of the photoresist pattern.

In some embodiments, the crosslinking agent has the following structure:

wherein C is carbon, n ranges from 1 to 15; a and B each comprise a hydrogen atom, a hydroxyl group, a halide, an aromatic carbocyclic ring or a linear or cyclic alkyl group having a carbon number of 1 to 12, an alkoxy/fluoro, alkyl/fluoroalkoxy chain, and each carbon C comprises A and B; the first terminal carbon C of the first end of the carbon chain C comprises X and the second terminal carbon C of the second end of the carbon chain C comprises Y, wherein X and Y each comprise an amine group, a thiol group, a hydroxyl group, an isopropanolamine group or an isopropylamine group, except that when n ═ 1, X and Y are bonded to the same carbon C. Specific examples of materials that can be used as crosslinking agents include:

alternatively, in addition to adding a cross-linking agent to the photoresist composition, in some embodiments a coupling agent is added, wherein the coupling agent is added in addition to the cross-linking agent. The coupling agent assists the crosslinking reaction by reacting with groups on the hydrocarbon structure in the polymer resin prior to the crosslinking agent, thereby reducing the reaction energy of the crosslinking reaction and increasing the rate of the crosslinking reaction. The bonded coupling agent then reacts with the crosslinking agent, thereby coupling the crosslinking agent to the polymer resin.

Alternatively, in some embodiments in which a coupling agent is added to the photoresist without a crosslinking agent, the coupling agent is used to couple one group from one hydrocarbon structure in the polymer resin with a second group from another hydrocarbon structure to crosslink and bond the two polymers together. However, in such embodiments, unlike the crosslinking agent, the coupling agent does not remain part of the polymer and only helps to directly bond one hydrocarbon structure to another.

In some embodiments, the coupling agent has the following structure:

wherein R is a carbon atom, a nitrogen atom, a sulfur atom or an oxygen atom; m comprises a chlorine atom, a bromine atom, an iodine atom, -NO2;–SO3–;–H;–CN;–NCO、–OCN;–CO2;–OH、–OR*、–OC(O)CR*;–SR、–SO2N(R*)2;–SO2R*;–SOR;–OC(O)R*;–C(O)OR*;–C(O)R*;–Si(OR*)3;–Si(R*)3And epoxy groups, and the like; and R is substituted or unsubstituted C1-C12 alkyl, C1-C12 aryl, C1-C12 aryl alkyl, etc. Specific examples of materials that may be used as coupling agents in some embodiments include the following:

in some embodiments, the photoresist comprises a protective polymer, which is applied to the layer or substrate 10 to be patterned to form the protective layer 20. In some embodiments, the protecting polymer has a pendant fluorocarbon group and a pendant acid leaving group. In one embodiment, the backbone of the polymer having pendant fluorocarbon groups and pendant acid leaving groups is a polyhydroxy groupStyrene, polyacrylate or a polymer formed from 1 to 10 carbon monomers. In one embodiment, the polymer having pendant fluorocarbon groups and pendant acid leaving groups comprises from about 0.1 wt.% to about 10 wt.% of one or more polar functional groups selected from the group consisting of-OH, -NH, and combinations thereof3、–NH2and-SO3Based on the total weight of the polymer having fluorocarbon groups.

In one embodiment, the polymer having pendant fluorocarbon groups and pendant acid leaving groups comprises from about 30 wt.% to about 70 wt.% pendant fluorocarbon groups, and from about 30 wt.% to about 70 wt.% pendant acid, based on the total weight of the polymer having pendant fluorocarbon groups and pendant acid leaving groups.

In one embodiment, the pendant fluorocarbon group is attached to the polymer backbone through a linking unit R1, R1 is selected from the group consisting of straight, branched, cyclic, acyclic, saturated, or unsaturated hydrocarbons with optional halogen substituents from 1 to 9 carbons; -S-; -P-; -P (O)2);–C(=O)S–;–C(=O)O–;–O–;–N–;–C(=O)N–;–SO2O–;–SO2S–;–SO–;–SO2-and-C (═ O) -. In one embodiment, the pendant fluorocarbon group is selected from the group consisting of CxFy(wherein 1. ltoreq. x.ltoreq.9 and 3. ltoreq. y.ltoreq.12) and- (C (CF)3)2OH) -. FIG. 9 shows a block C according to an embodiment of the present disclosurexFyExamples of units attached to the polymer chain via linking unit R1. As shown, in some embodiments, CxFyIs selected from the group consisting of-C2F5、–CH2CH2C3F7、–(C(CF3)2OH)、–C(=O)OC4F9、–CH2OC4F9and-C (═ O) O (C (CF)3)2OH).

In one embodiment, the pendant acid leaving group is attached to the polymer backbone through a linking unit R2, the linking unit R2 is selected from the group consisting of linear, branched, cyclic, acyclic, saturated, or unsaturated hydrocarbons with optional halogen substituents from 1 to 9 carbons; a phenyl group; -S-; -P-; -P (O)2);–C(=O)S–;–C(=O)O–;–O–;–N–;–C(=O)N–;–SO2O–;–SO2S–;–SO–;–SO2-; -C (═ O) -and-C-O-. As shown in fig. 10, an example of an acid leaving group attached to a polymer chain via linking unit R2, in accordance with embodiments of the present disclosure.

In some embodiments, the weight percent of the protecting polymer having pendant fluorocarbon groups and pendant acid leaving groups in the photoresist/protecting polymer mixture is from about 1 wt.% to about 10 wt.%, based on the total weight of the photoresist/protecting polymer mixture. In some embodiments, the weight average molecular weight of the protective polymer having pendant fluorocarbon groups and pendant acid leaving groups is from about 3000 to about 15,000. In some embodiments, the protective polymer having pendant fluorocarbons and pendant acid leaving groups has a weight average molecular weight of about 6000 to about 11,000.

The individual components of the photoresist and the protective polymer are placed in a solvent to aid in the mixing and dispensing of the photoresist. To aid in the mixing and dispensing of the photoresist, the choice of solvent will depend, at least in part, on the polymer resin and the material used for the PAC. In some embodiments, the solvent is selected such that the polymer resin (the light-blocking polymer and the protective polymer) and the PAC can be uniformly dissolved in the solvent and distributed over the layer to be patterned.

In some embodiments, the solvent is an organic solvent and includes one or more of any suitable solvent, such as a ketone, alcohol, polyol, ether, glycol ether, cyclic ether, aromatic hydrocarbon, ester, propionate, lactate ester, alkylene glycol, monoalkyl ether, alkyl lactate ester, alkyl alkoxy propionate ester, cyclic lactone, a monoketone compound containing a ring, alkylene carbonate, alkyl alkoxy acetate ester, alkyl pyruvate ester, lactate ester, ethylene glycol alkyl ether acetate ester, diethylene glycol, propylene glycol alkyl ether acetate ester, alkylene glycol alkyl ether ester, or alkylene glycol monoalkyl ester, and the like.

Specific examples of materials that can be used as the photoresist solvent include acetone, methanol, ethanol, toluene, xylene, 4-hydroxy-4-methyl-2-pentanone, tetrahydrofuran, methyl ethyl ketone, cyclohexanone, methyl isoamyl ketone, 2-heptanone, ethylene glycol monoacetate, ethylene glycol dimethyl ether, ethylene glycol methyl ether, ethylene glycol monoethyl ether, 2-methyloxyethyl acetate, 2-ethyloxyethyl acetate, diethylene glycol monoacetate, diethylene glycol monomethyl ether, diethylene glycol diethyl ether, diethylene glycol dimethyl ether, diethylene glycol ethyl methyl ether, diethylene glycol monoethyl ether, diethylene glycol monobutyl ether, ethyl 2-hydroxypropionate, methyl 2-hydroxy-2-methylpropionate, ethyl 2-hydroxy-2-methyl propionate, Ethyl ethoxyacetate, ethyl glycolate, methyl 2-hydroxy-2-methylbutyrate, methyl 3-methoxypropionate, ethyl 3-methoxypropionate, methyl 3-ethoxypropionate, ethyl 3-ethoxypropionate, methyl acetate, ethyl acetate, propyl acetate, butyl acetate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, propylene glycol monoacetate, propylene glycol monoethyl ether acetate, propylene glycol monomethyl ether acetate, propylene glycol monopropyl ether methyl ester acetate, propylene glycol monobutyl ether acetate, propylene glycol monomethyl ether propionate, propylene glycol monoethyl ester propionate, propylene glycol methyl ether acetate, propylene glycol ethyl ether acetate, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, propylene glycol monomethyl ether, propylene glycol monoethyl ether, methyl acetate, propylene glycol monoethyl ether, methyl ether acetate, propylene glycol monoethyl, Propylene glycol monopropyl ether, propylene glycol monobutyl ether, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethyl 3-ethoxypropionate, methyl 3-methoxypropionate, methyl 3-ethoxypropionate, ethyl 3-methoxypropionate, beta-propiolactone, beta-butyrolactone, gamma-butyrolactone, alpha-methyl-gamma-butyrolactone, beta-methyl-gamma-butyrolactone, gamma-valerolactone, gamma-caprolactone, gamma-octalactone, alpha-hydroxy-gamma-butyrolactone, 2-butanone, 3-methylbutanone, pinacolone, 2-pentanone, 3-pentanone, 4-methyl-2-pentanone, 2-methyl-3-pentanone, 4-dimethyl-2-pentanone, methyl acetate, ethyl 3-ethoxypropionate, methyl 3-methoxypropionate, methyl 3-, 2, 4-dimethyl-3-pentanone, 2,4, 4-tetramethyl-3-pentanone, 2-hexanone, 3-hexanone, 5-methyl-3-hexanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-methyl-3-heptanone, 5-methyl-3-heptanone, 2, 6-dimethyl-4-heptanone, 2-octanone, 3-octanone, 2-nonanone, 3-nonanone, 5-nonanone, 2-decanone, 3-decanone, 4-decanone, 5-hexen-2-one, 3-penten-2-one, cyclopentanone, 2-methylcyclopentanone, 3-methylcyclopentanone, 2-dimethylcyclopentanone, 2-hexanone, 3-hexanone, 5-methyl-3-heptanone, 2-hexanone, 2-methyl-3-pentanone, 2,2,4, 4-trimethylcyclopentanone, cyclohexanone, 3-methylcyclohexanone, 4-ethylcyclohexanone, 2-dimethylcyclohexanone, 2, 6-dimethylcyclohexanone, 2, 6-trimethylcyclohexanone, cycloheptanone, 2-methylcycloheptanone, 3-methylcycloheptanone, propylene carbonate, vinylene carbonate, ethylene carbonate, butylene carbonate, 2-methoxyethyl acetate, 2-ethoxyethyl acetate, 2- (2-ethoxyethoxy) ethyl acetate, 3-methoxy-3-methylbutyl acid, 1-methoxy-2-propyl acetate, dipropylene glycol, monomethyl ether, monoethyl ether, monopropyl ether, monobutyl ether, Monophenyl ether, dipropylene glycol monoacetate, dioxane, methyl pyruvate, ethyl pyruvate, propyl pyruvate, methyl methoxypropionate, ethyl ethoxypropionate, N-methylpyrrolidone (NMP), 2-methoxyethyl ether (diglyme), ethylene glycol monomethyl ether, propylene glycol monomethyl ether, methyl propionate, ethyl ethoxypropionate, methyl ethyl ketone, cyclohexanone, 2-heptanone, cyclopentanone, cyclohexanone, ethyl 3-ethoxypropionate, Propylene Glycol Methyl Ether Acetate (PGMEA), methylene cellosolve, 2-ethoxyethanol, N-methylformamide, N, N-dimethylformamide, N-methylformamide, N-methylacetamide, N, N-dimethylacetamide, dimethyl sulfoxide, methyl ethoxypropionate, N-methylpyrrolidone, N-methylacetamide, N-dimethylacetamide, N-dimethylolpropionate, N-methylolpropionate, Benzyl ether, dihexyl ether, acetonyl acetone, isophorone (isophorone), caproic acid (caproic acid), caprylic acid (caproic acid), 1-octanol, 1-nonanol, benzyl alcohol, benzyl acetate, ethyl benzoate, diethyl oxalate, diethyl maleate, or acid-2-phenoxyethyl ester, and the like.

One of ordinary skill in the art will recognize that the above listed and described examples of materials as useful in photoresist solvent compositions are illustrative only and not intended to be limiting. However, any suitable material that dissolves the polymer resin and PAC may be used to aid in mixing and using the photoresist. All such materials are considered to fall within the scope of the present embodiment.

In addition, while each of the above materials may be used in solvents for photoresists and protective polymers, in other embodiments, more than one of the above materials is used. For example, in some embodiments, the solvent comprises a combination mixture of two or more of the materials. All such combinations are fully intended to be included within the scope of the embodiments.

In addition to the polymer resin, PAC, solvent, cross-linking agent, and coupling agent, some embodiments of the photoresist also include many other additives that help the photoresist achieve high resolution. For example, some embodiments of photoresists also include surfactants to help improve the ability of the photoresist to coat the surface to which it is applied. In some embodiments, the surfactant comprises a nonionic surfactant, a polymer having a fluorinated aliphatic group, a surfactant containing at least one fluorine atom and/or at least one silicon atom, a polyoxyethylene alkyl ether, a polyoxyethylene alkylaryl ether, a polyoxyethylene-polyoxypropylene block copolymer, sorbitan fatty acid esters (sorbitan fatty acid esters), and polyoxyethylene sorbitan fatty acid esters (polyoxyethylene sorbitan fatty acid esters).

Specific examples of materials used as the surfactant in some embodiments include polyoxyethylene lauryl ether (polyoxyethylene lauryl ether), polyoxyethylene stearyl ether, polyoxyethylene cetyl ether, polyoxyethylene oleyl ether, polyoxyethylene octylphenol ether, polyoxyethylene nonylphenol ether, sorbitan monolaurate (sorbate), sorbitan monopalmitate (sorbate), sorbitan monostearate (sorbate), polyoxyethylene sorbitan monolaurate (sorbate), polyoxyethylene sorbitan monopalmitate (polyoxyethylene stearate), polyoxyethylene sorbitan monopalmitate (polyoxyethylene sorbitan monopalmitate), polyoxyethylene sorbitan monopalmitate (polyoxyethylene sorbitan monolaurate), polyoxyethylene sorbitan monolaurate (polyoxyethylene sorbitan monolaurate), polyoxyethylene, Polyoxyethylene sorbitan tristearate (polyoxyethylene glycol distearate), polyethylene glycol distearate (polyoxyethylene glycol distearate), polyethylene glycol dilaurate (polyoxyethylene glycol dilaurate), polyethylene glycol, polypropylene glycol, polyoxyethylene tetraaryl ether, polyoxyethylene cetyl ether, fluorine-containing cationic surfactants, fluorine-containing nonionic surfactants, fluorine-containing anionic surfactants, cationic and anionic surfactants, polyethylene glycol, polypropylene glycol, polyoxyethylene cetyl ether, combinations thereof, and the like.

Another additive that is added to some embodiments of the photoresist composition and the protective layer composition is an inhibitor that inhibits the diffusion of acids/bases/radicals generated within the photoresist. The inhibitor improves the photoresist pattern structure and the stability of the photoresist with respect to time. In one embodiment, the inhibitor is an amine, such as a second low fatty amine or a third low fatty amine, and the like. Specific examples of amines include trimethylamine, diethylamine, trimethylamine, di-n-propylamine, tri-n-propylamine, tripentylamine, diethanolamine and triethanolamine, alkanolamines, combinations thereof, and the like.

Some embodiments of the inhibitor include:

in some embodiments, the inhibitor is a photolytic base. Examples of photolytic bases are shown below, where R1Is a cycloaliphatic group of 5 or more carbon atoms which may have substituents, X is a divalent linking group, and Y is a linear, branched or cyclic alkylene or arylene group; rf is a hydrocarbon group containing a fluorine atom, M is an organic cation orMetal cation:

43页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:通过添加剂的EUV金属光刻胶性能增强

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类