Semiconductor system package and method of manufacturing the same

文档序号:1848347 发布日期:2021-11-16 浏览:8次 中文

阅读说明:本技术 半导体系统封装及其制造方法 (Semiconductor system package and method of manufacturing the same ) 是由 吴俊毅 余振华 于 2021-08-16 设计创作,主要内容包括:本揭露是有关于一种半导体系统封装及其制造方法。所述方法包括:通过形成嵌置在钝化材料中的导电迹线的堆叠来形成局部有机内连线;在钝化材料之上形成第一局部接触件及第二局部接触件,第二局部接触件通过所述堆叠的第一导电迹线电耦合到第一局部接触件。所述方法还包括在局部有机内连线的相对侧上形成背侧重布线层及前侧重布线层,其中模塑穿孔将背侧重布线层与前侧重布线层电耦合到彼此。在背侧重布线层之上形成第一外部接触件及第二外部接触件,以用于安装半导体器件,第一外部接触件与第二外部接触件通过局部有机内连线电连接到彼此。将内连结构贴合到前侧重布线层,以用于进一步布线。多个外部连接件电耦合到背侧重布线层处的外部接触件。(The present disclosure relates to a semiconductor system package and a method of manufacturing the same. The method comprises the following steps: forming local organic interconnects by forming a stack of conductive traces embedded in a passivation material; a first local contact and a second local contact are formed over the passivation material, the second local contact being electrically coupled to the first local contact through the stacked first conductive trace. The method also includes forming a backside rewiring layer and a front-side rewiring layer on opposite sides of the local organic interconnect, wherein the through-mold vias electrically couple the backside rewiring layer and the front-side rewiring layer to each other. First and second external contacts are formed over the backside rewiring layer for mounting the semiconductor device, the first and second external contacts being electrically connected to each other through a partial organic interconnect. The interconnect structure is attached to the front heavy wiring layer for further routing. A plurality of external connections are electrically coupled to the external contacts at the backside redistribution layer.)

1. A method of manufacturing a semiconductor system package, comprising:

forming a plurality of conductive pads over a first carrier substrate;

bonding local organic interconnects to the plurality of conductive pads, wherein the local organic interconnects comprise a second carrier substrate;

encapsulating the local organic interconnect and the plurality of conductive pads in a molding compound;

removing the second carrier substrate by planarizing the molding compound and a passivation material of the local organic interconnect;

forming a first redistribution layer adjacent to the molding compound;

forming a first external connection adjacent to the first redistribution layer; and

forming a second external connection over the first redistribution layer, the second external connection electrically coupled to the first external connection through a local redistribution trace embedded within the passivation material of the local organic interconnect.

2. The manufacturing method according to claim 1, wherein forming the first rewiring layer includes:

removing the plurality of conductive pads from the under bump metallurgy of the local organic interconnect; and

forming a plurality of conductive features of the first redistribution layer to the under bump metallization.

3. The method of manufacturing of claim 1, wherein forming the first redistribution layer comprises forming a plurality of conductive features of the first redistribution layer to the plurality of conductive pads.

4. The manufacturing method according to claim 1, further comprising:

forming a via over the first carrier substrate adjacent the plurality of conductive pads;

encapsulating the via with the local organic interconnect and the plurality of conductive pads in the molding compound;

forming a second redistribution layer adjacent a backside of the local organic interconnect, the second redistribution layer electrically coupled to the first redistribution layer through the via; and

attaching an external connector to the second redistribution layer, the external connector being electrically coupled to the local organic interconnect.

5. A method of manufacturing a semiconductor system package, comprising:

forming a first positioning pad and a second positioning pad over a carrier substrate;

attaching local interconnects to the first and second location pads, the first location pad being electrically coupled to the second location pad through a local conductive trace embedded within a passivation material of the local interconnects;

forming a backside redistribution layer over the local interconnect electrically coupled to the local interconnect;

forming a first external connection over the backside rewiring layer; and

forming a second external connection over the backside redistribution layer, the second external connection being electrically coupled to the first external connection through the local conductive trace.

6. The method of claim 5, wherein bonding the local interconnect comprises bonding the first landing pad to a first under bump metallization of the local interconnect and bonding the second landing pad to a second under bump metallization of the local interconnect.

7. The method of manufacturing of claim 6, wherein the bonding comprises performing a solder reflow between a first external contact of the local interconnect and the first location pad and between a second external contact of the local interconnect and the second location pad.

8. The method of manufacturing according to claim 7, wherein forming the backside redistribution layer further comprises forming a first redistribution trace over the first under-bump metal electrically coupled to the first under-bump metal and forming a second redistribution trace over the second under-bump metal electrically coupled to the second under-bump metal.

9. A semiconductor system package, comprising:

local organic interconnects including local conductive traces embedded in a passivation material;

a backside rewiring layer over the local organic interconnect, the backside rewiring layer electrically coupled to the local organic interconnect;

a first external connection electrically coupled to the local organic interconnect through the backside redistribution layer; and

a second external connection electrically coupled to the local organic interconnect through the backside redistribution layer, the second external connection electrically coupled to the first external connection through the local conductive trace.

10. The semiconductor system package of claim 9, wherein the local organic interconnect further comprises:

a first under bump metal electrically coupling the first external connection to the local conductive trace; and

a second under bump metallization electrically coupling the second external connection to the local conductive trace.

Technical Field

The disclosed embodiments relate to a semiconductor system package and a method of manufacturing the same.

Background

The semiconductor industry has experienced rapid growth due to continued improvements in the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.). To a large extent, improvements in integration density come from the ever-decreasing minimum feature size (feature size), which enables more components to be integrated into a given area. As the demand for shrinking electronic devices has increased, there is a need for smaller and more inventive packaging techniques for semiconductor dies. An example of such a packaging system is Package-on-Package (PoP) technology. In PoP devices, a top semiconductor package is stacked on top of a bottom semiconductor package to provide a high level of integration and component density. PoP technology generally enables the production of semiconductor devices with enhanced functionality and small footprint on Printed Circuit Boards (PCBs).

Disclosure of Invention

Embodiments of the present disclosure provide a method of forming a semiconductor system package, the method comprising: forming a plurality of conductive pads over a first carrier substrate; bonding local organic interconnects to the plurality of conductive pads, wherein the local organic interconnects comprise a second carrier substrate; encapsulating the local organic interconnect and the plurality of conductive pads in a molding compound; removing the second carrier substrate by planarizing the molding compound and a passivation material of the local organic interconnect; forming a first redistribution layer adjacent to the molding compound; forming a first external connection adjacent to the first redistribution layer; and forming a second external connection over the first redistribution layer, the second external connection electrically coupled to the first external connection through a local redistribution trace, the local redistribution trace embedded within the passivation material of the local organic interconnect.

Drawings

Various aspects of the disclosure are best understood from the following detailed description when read with the accompanying figures. It should be noted that, in accordance with standard practice in the industry, the various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.

Fig. 1 illustrates a cross-sectional view of a system package according to some embodiments.

Fig. 2 illustrates a top view of a system package according to some embodiments.

Fig. 3-5 illustrate cross-sectional views at intermediate steps during a process of forming Local Organic Interconnects (LOIs) of a system-in-package, in accordance with some embodiments.

Fig. 6-14 illustrate cross-sectional views at intermediate steps during a process of forming an integrated substrate structure (integrated substrate structure) using local organic interconnects, in accordance with some embodiments.

Fig. 15A and 15B illustrate a wafer form (wafer form) process and a panel form (panel form) process, respectively, for fabricating an integrated substrate structure, according to some embodiments.

Fig. 16-18 illustrate cross-sectional views of intermediate steps during a process of forming a device redistribution module (device redistribution module) using an integrated substrate structure, according to some embodiments.

Fig. 19 and 20 illustrate cross-sectional views of mounting a semiconductor device and attaching external package connectors in an intermediate step of forming a system-in-package, according to some embodiments.

Fig. 21 illustrates a cross-sectional view of a section (section) of a system package using local organic interconnects, in accordance with another embodiment.

Fig. 22 illustrates a cross-sectional view of a local organic interconnect, in accordance with another embodiment.

[ description of symbols ]

100: system packaging

101: system device

103: memory device

105: input/output device

106: semiconductor device with a plurality of transistors

107: local interconnect

111: integrated substrate structure

113: interconnection structure

115: segment of

301: a first carrier substrate

303: a first isolation layer

305: locally rerouted traces

401: local rewiring layer stack

403: first via hole

405: unitized gap

407: external component contact

409: first under bump metallurgy

501: a second carrier substrate

503: first release film

505: positioning pad

601: first molded through hole

801: first underfill

901: package body

1101: front-side heavy-wiring layer stack

1103: second via hole

1105: front-side rerouting trace

1107: a second insulating layer

1107 a: a first dielectric material

1107 b: a second dielectric material

1201: third carrier substrate

1203: second release film

1401: backside redistribution layer

1403: third via hole

1405: backside rewired traces

1407: a third insulating layer

1409: second under bump metallurgy

1411: external device connector

1501: circular wafer

1601: carrier tape

1701: core substrate

1703: wiring structure

1705: perforation

1707: wiring layer

1709: interlayer dielectric layer

1711: through hole core

1713: passivation layer

1715: external structural connector

1800: device rewiring module

1801: second underfill

1901: external contact

2001: third underfill

2003: external package connector

2201: a fourth barrier layer

2203: second molded through hole

CD 1: first critical dimension

CD 2: second critical dimension

DIM1, DIM 2: lateral dimension

H1: first height

H2: second height

H3: third height

H4: a fourth height

H5: a fifth height

H6: the sixth height

LW 1: first line width

P1: first pitch

S1: first interval

Th 1: a first thickness

Detailed Description

The following disclosure provides many different embodiments, or examples, for implementing different features of the disclosure. Specific examples of components and arrangements are set forth below to simplify the present disclosure. Of course, these are merely examples and are not intended to be limiting. For example, in the following description, forming a first feature over or on a second feature may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. Additionally, the present disclosure may repeat reference numerals and/or letters in the various examples. Such repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.

Furthermore, for ease of illustration, spatially relative terms such as "below …", "below …", "lower", "above …", "upper", and the like may be used herein to describe one element or feature's relationship to another (other) element or feature. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may have other orientations (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly as well.

Fig. 1 illustrates a cross-sectional view of a system in package 100, such as a system on integrated substrate (SoIS), according to some embodiments. The system-in-package 100 includes one or more semiconductor devices 106, which one or more semiconductor devices 106 are stacked on top of an integrated substrate structure 111 in a package-on-package (PoP) arrangement (e.g., system-on-integrated-substrate (SoIS)). The semiconductor devices 106 may include, for example, the following devices: a system-on-chip (SoC) device 101, a memory device 103, such as a high-bandwidth memory (HBM), and an input/output device 105, such as an I/O chip (I/O chip), not shown in the precise cross-section of fig. 1, but illustrated in fig. 2 below. The package on package (PoP) arrangement of system-in-package 100 provides high system integration and high component density. The integrated substrate structure 111 includes one or more local interconnects (107) electrically connecting the system device 101, the memory device 103, and the input/output device 105 to one another. Fig. 1 further illustrates a section 115, the section 115 emphatically showing an arrangement between two of the semiconductor devices 106 electrically coupled to each other through one of the local interconnects 107. In addition, fig. 1 shows an interconnect structure (interconnect structure)113 attached to the integrated substrate structure 111 for external connection to the system-in-package 100.

In addition, fig. 1 illustrates a first arrangement of a system device 101, a memory device 103, and an input/output device 105 according to a particular embodiment. System device 101, memory device 103, and input/output device 105 may be arranged in any suitable pattern and local interconnects 107 may be connected between any suitable combination of adjacent ones of system device 101, memory device 103, and input/output device 105. In addition, local interconnect 107 may also be referred to herein as a Local Organic Interconnect (LOI), embedded local organic interconnect (eLOI), organic bus (ORG-bus), or embedded organic bus (eORG-bus). In some embodiments, the local interconnect 107 may include other integrated devices, such as Integrated Passive Devices (IPDs), Integrated Voltage Regulators (IVRs), Static Random Access Memories (SRAMs), combinations thereof, and the like. In other embodiments, the local interconnect 107 may not substantially include such devices.

Fig. 2 illustrates a top view of a system in package 100, according to a particular embodiment. The system-in-package 100 includes a system device 101, a memory device 103, and an input/output device 105, which are stacked on top of an integration substrate structure 111 in a package-on-package (PoP) design that provides high system integration and high component density. In fig. 2, system device 101, memory device 103, and input/output device 105 are illustrated as transparent to show local interconnects 107 connecting adjacent devices to each other. Further, FIG. 2 illustrates an arrangement of system device 101, memory device 103, and input/output device 105 according to a particular embodiment.

In some embodiments, the local interconnects 107 are used to form interconnects or additional routing between other devices in the package (e.g., semiconductor devices, dies, chips, etc., as described above). In some embodiments, the local interconnect 107 includes one or more active devices (e.g., transistors, diodes, etc.) and/or one or more passive devices (e.g., capacitors, resistors, inductors, etc.). However, in other embodiments, the local interconnect 107 includes one or more additional layers of wiring and substantially no active or passive devices. In some embodiments, the local interconnect 107 may have a lateral dimension (DIM1 × DIM2) between about 2mm × 3mm and about 50mm × 80 mm. However, the local interconnect 107 may have any suitable lateral dimension.

In some embodiments, electrical routing (electrical routing) within the local interconnect 107 may include a fine-pitch redistribution layer (fine-pitch RDL) having a pitch of less than about 1 μm. By forming electrical wiring with a fine pitch, the density of electrical wiring in local interconnect 107 may be increased, thereby increasing the routing capability of local interconnect 107. In some cases, the higher density of electrical routing in the local interconnects 107 may enable a smaller amount of routing to be formed elsewhere in the package. This may reduce the size of the package, reduce the processing cost of the package, or improve performance by reducing the routing distance within the package. In some cases, using a fine pitch formation process, such as a damascene process or a dual damascene process, may enable improved conduction and connection reliability within the local interconnect 107. In some cases, during high speed operation (e.g., greater than about 2Gbit/sec), electrical signals may be conducted near the surface of the conductive component. The fine-pitch wiring may have small surface roughness compared to other types of wiring, and thus may reduce resistance experienced by high-speed signals and also reduce signal loss (e.g., insertion loss) during high-speed operation. This may improve performance for high speed operations, such as, for example, the performance of a "Serializer/Deserializer (Ser/Des)" circuit or other types of circuits that may operate at higher speeds.

Referring to fig. 3, a cross-sectional view of a redistribution layer (RDL) formed over a first carrier substrate 301 in an intermediate stage of forming local interconnects 107 is illustrated, in accordance with some embodiments. The first carrier substrate 301 may be referred to herein as a support carrier, a support wafer, or a handling wafer, and may be a carrier such as a glass carrier, a silicon carrier, a ceramic carrier, an organic carrier, or the like. According to some embodiments, the first carrier substrate 301 has a thickness between about 2mm and about 31 mm. However, any suitable thickness may be used.

Fig. 3 further illustrates the formation of layers of the first isolation layer 303 using, for example, one or more of the following suitable Passivation Materials (PMs): a coating of a passivation material, Polybenzoxazole (PBO), a polymeric material, a polyimide derivative, an oxide, a nitride, a molding compound, a similar material, or a combination thereof. The layers of the first isolation layer 303 may be formed by spin coating (spin coating), lamination (lamination), Chemical Vapor Deposition (CVD), similar processes, or combinations thereof. In some embodiments, the layer of the first isolation layer 303 may have a thickness between about 0.5 μm and about 15 μm.

Fig. 3 further illustrates the formation of a plurality of local redistribution traces (305) over the layer of first isolation layer 303. According to some embodiments, the local redistribution trace 305 may include a redistribution trace (redistribution line), a micro-bump pad plating layer (micro-bump pad plating layer), a combination thereof, or the like. The local reroute traces 305 may also be referred to herein as local traces, local RDL traces, local traces, conductive lines, conductive features. The local re-routing traces 305 may be formed by initially forming a metal seed layer over the layer of the first isolation layer 303. According to some embodiments, the seed layer may comprise an adhesion layer and a copper-containing layer. The adhesion layer may be formed of titanium, titanium nitride, tantalum nitride, or the like. The copper-containing layer may be formed of substantially pure copper or a copper alloy. The metal seed Layer may be formed using Physical Vapor Deposition (PVD), Plasma Enhanced Chemical Vapor Deposition (PECVD), Atomic Layer Deposition (ALD), and the like. Once the metal seed layer has been formed, a plating mask (e.g., photoresist) may be formed over the metal seed layer, with a plurality of openings patterned into the plating mask to expose portions of the metal seed layer. Next, local rewiring traces 305 are formed in the openings of the plating mask, for example using electrochemical plating techniques. The local rerouting traces 305 may be formed of copper, aluminum, nickel, palladium, alloys thereof, combinations thereof, and the like. In some embodiments, portions of the local rerouting trace 305 are formed to a first thickness Th1 that is between about 0.5 μm and about 10 μm. In some embodiments, the local reroute trace 305 is formed to have a first linewidth LW1 between about 0.5 μm and about 10 μm. Further, according to some embodiments, the pitch between the local rerouting traces 305 may be a first pitch S1 of between about 0.5 μm and about 10 μm. However, any suitable thickness, width, and spacing may be used to form the local rerouting traces 305.

After the local rewiring traces 305 have been formed, the plating mask is removed by, for example, an ashing (ashing) or chemical stripping (chemical stripping) process using an oxygen plasma (oxygen plasma) or the like, and underlying portions of the metal seed layer are exposed. Once the plating mask has been removed, the portions of the exposed metal seed layer are etched away.

Fig. 4A illustrates the formation of a local rewiring layer stack 401 in an intermediate step of forming the local interconnect 107, according to some embodiments. According to some embodiments, local rewiring layer stack 401 includes a plurality of local rewiring traces 305, a plurality of first isolation layers 303, and a plurality of first vias 403. By repeating the process (as further described below with respect to fig. 4B), the first vias 403 connect the local rerouting traces 305 to each other through the layer of the first isolation layer 303. The plurality of layers of local rerouting traces 305 and the plurality of first vias 403 may be collectively referred to herein as a local metallization layer, a local metallization layer stack, a local conductive layer stack, a local conductive rerouting layer, or a local rerouting layer. The plurality of first isolation layers 303 may be collectively referred to herein as a local interlayer passivation layer, a local interlayer isolation layer, a local interlayer dielectric layer, a local passivation layer stack, a local isolation layer stack, or a local dielectric layer stack. The local rewiring layer stack 401 may also be referred to herein as a local RDL stack, a local rewiring layer, or a local interconnect stack. According to some embodiments, local redistribution layer stack 401 may have a first height H1 between about 10 μm and about 100 μm. Once the local redistribution layer stack 401 has been formed, a plurality of external component contacts 407 for external connection to the local interconnect 107 are formed. The external component contacts 407 may be referred to herein as local interconnect contacts, local contacts, or external contacts.

Once formed, the local redistribution layer stack 401 may be singulated into discrete components of the local interconnects 107 using a suitable singulation process (e.g., wafer sawing, laser ablation, photolithography and etching processes, or combinations thereof, etc.). For example, the plurality of singulation gaps 405 may be initially formed by laser ablation through the local redistribution layer stack 401 and into the first carrier substrate 301 and/or through the first carrier substrate 301. After laser ablation has been performed, a suitable etching process (e.g., wet etching) may be used to remove material of the first carrier substrate 301 at the bottom of the singulation gap 405 to complete singulation of the local interconnects 107. However, any suitable singulation process and/or combination of multiple singulation processes may be used.

Fig. 4B illustrates one of the local interconnects 107 after singulation of the local redistribution layer stack 401, in accordance with a particular embodiment. Specifically, fig. 4B shows that the local interconnects 107 are formed from four layers of local reroute traces 305, which four layers of local reroute traces 305 are separated from each other by a layer of the first isolation layer 303 and are electrically connected to each other by the first vias 403. Although fig. 4A and 4B are shown with four layers, any suitable number of layers of local reroute traces 305 may be formed in local reroute layer stack 401, and thus in local interconnect 107.

Once the first layer of the locally re-routed trace 305 has been formed, the first via 403 may be formed over the first layer of the locally re-routed trace 305 and in a plurality of desired locations by initially forming another layer of the first isolation layer 303 over the locally re-routed trace 305. A plurality of openings are then formed in the first isolation layer 303 to expose areas of the local rerouting traces 305 that are in the plurality of desired locations of the first via 403. According to some embodiments, the mask is formed by using suitable lithography (e.g., depositing and patterning a photoresist) and a suitable etch process (e.g., wet etch, dry etch, or a combination thereof) is usedAnd so on) the first isolation layer 303 is etched to form an opening in the first isolation layer 303. In embodiments where a polymeric material is used for the first isolation layer 303, an etch chemistry (e.g., fluorocarbon (CF)) may be used in, for example, a Reactive Ion Etching (RIE) process4) Hydrofluorocarbons (CHF)3) Etc.) to form an opening in the first isolation layer 303.

Once the openings have been formed and the areas of the local rerouting traces 305 have been exposed, a seed layer may be formed through the openings, over the exposed areas and over the layer of the first isolation layer 303. A photoresist may be formed and patterned on top of the seed layer and in the desired location of the first via 403 and/or the desired location of the additional layer of local rerouting traces 305 to be formed over the passivation material. A conductive material may then be formed in the patterned openings of the photoresist using, for example, a plating process to fill and/or overfill (overfilll) the openings in the passivation material and/or to form additional layers of local rerouting traces 305. The photoresist may then be removed by ashing and the exposed portions of the seed layer may be removed by etching. As such, the first via 403 is formed through the first isolation layer 303 and is connected to an underlying layer of the locally rerouted trace 305 and/or an additional layer of the locally rerouted trace 305 is formed over the first isolation layer 303 and is connected to one or more of the first vias 403. According to some embodiments, the first via 403 may have a first critical dimension CD1 between about 2 μm and about 55 μm. Further, the first via 403 may have a second height H2 that is between about 0.5 μm and about 30 μm.

Other layers of the first isolation layer 303, the first vias 403, and other layers of the local rerouting traces 305 may be formed in a stacked manner until the topmost layer of the desired local rerouting layer stack 401 has been formed. Once the top-most layer has been formed, a plurality of external component contacts 407 and/or a plurality of first under-bump metallization (UBM) 409 for external connection to the local interconnect 107 may be formed over the top-most layer. In some embodiments, first underbump metallization 409 is formed to extend through the topmost layer of local rerouting layer stack 401 and to form an electrical connection with first via 403 and/or local rerouting trace 305. In such an embodiment, the first under bump metallization 409 may be formed, for example, by: an opening is formed in the first isolation layer 303 of the top most layer of the local redistribution layer stack 401 and then the conductive material of the first underbump metallization 409 is formed within the opening and over the first isolation layer 303. In some embodiments, the opening in the first isolation layer 303 may be formed by: forming a photoresist over the topmost layer of the first isolation layer 303; patterning the photoresist; and etching the first isolation layer 303 through the patterned photoresist using a suitable etching process (e.g., a wet etching process and/or a dry etching process).

In some embodiments, the first underbump metallization 409 comprises three layers of conductive material, such as a titanium layer, a copper layer and a nickel layer. Other arrangements of materials and layers may be utilized to form the first underbump metallization 409, such as an arrangement of chrome/chrome-copper alloy/copper/gold, an arrangement of titanium/titanium tungsten/copper, or an arrangement of copper/nickel/gold. Any suitable material or layers of materials that may be used for the first underbump metallization 409 are fully intended to be included within the scope of the present application. The conductive material of the first underbump metallization 409 may be formed using one or more plating processes, such as an electroplating process or an electroless plating process, but other forming processes, such as sputtering, evaporation or PECVD processes, may also be used. Once the conductive material of the first underbump metallization 409 has been formed, portions of the conductive material may then be removed by a suitable photolithographic masking and etching process to remove undesired material. The remaining conductive material forms the first underbump metallization 409. In some embodiments, the first underbump metallization 409 may have a second critical dimension CD2 that is between about 2 μm and about 55 μm. According to some embodiments, the first underbump metallization 409 may have a first pitch P1 of between about 20 μm and about 80 μm. Still further, in some embodiments, the first underbump metallization 409 may have a third height H3 of between about 2 μm and about 30 μm.

With continued reference to fig. 4B, according to some embodiments, an external component contact 407 is formed over the first underbump metallization 409. In some embodiments, the external component contacts 407 may be micro bumps (μ bump), Ball Grid Array (BGA) connectors, solder balls, controlled collapse chip connection (C4) bumps, electroless nickel-palladium immersion gold (epepip) bumps, or the like. The external component contacts 407 may comprise an electrically conductive material, such as copper, solder, aluminum, gold, nickel, silver, palladium, tin, similar materials, or combinations thereof. In some embodiments, the external component contacts 407 are formed by initially forming a solder layer by evaporation, plating, printing, solder transfer, ball-planting, or the like. Once the solder layer has been formed on the first underbump metallization 409, reflow may be performed to shape the material into a desired shape. In embodiments where the external component contacts 407 are formed as copper micro-bumps, the external component contacts 407 may have a fourth height H4 that is between about 2 μm and about 50 μm. In embodiments where the external component contacts 407 are formed as solder micro-bumps, the external component contacts 407 may have a fourth height H4 between about 2 μm and about 30 μm. However, any suitable height may be used for the external component contacts 407. According to some embodiments, each of the local interconnects 107 includes one or more of the local reroute trace 305 and/or the first via 403 formed within the local interconnect 107 electrically coupling two or more of the external component contacts 407 to each other.

Turning to fig. 5, this figure illustrates a cross-sectional view in an intermediate stage of forming an integrated substrate structure 111, according to some embodiments. According to some embodiments, the second carrier substrate 501 has a first release film 503 coated on the top surface of the second carrier substrate 501. In some embodiments, the second carrier substrate 501 is formed of a transparent material, and may be a glass carrier, a ceramic carrier, an organic carrier, or the like. The first release film 503 may be formed of a Light-To-Heat-Conversion (LTHC) coating material applied To the second carrier substrate 501 in a coating process. Once applied, the LTHC coating material is capable of decomposing under the heat of light/radiation (e.g., laser) and, thus, may release the second carrier substrate 501 from the structures formed thereon.

Fig. 5 further illustrates the formation of a plurality of anchor pads 505 (e.g., microbump capture pads) over the first release film 503. According to some embodiments, the placemat 505 may include a seed layer, a micro-bump pad plating layer, a copper plating layer, a solder plating layer, combinations thereof, or the like. The location pad 505 may be formed by initially forming a metal seed layer over the first release film 503. According to some embodiments, the seed layer may comprise an adhesion layer and a copper-containing layer. The adhesion layer may be formed of titanium, titanium nitride, tantalum nitride, or the like. The copper-containing layer may be formed of substantially pure copper or a copper alloy. The metal seed layer may be formed using Physical Vapor Deposition (PVD), Plasma Enhanced Chemical Vapor Deposition (PECVD), Atomic Layer Deposition (ALD), or the like. Once the metal seed layer has been formed, a plating mask (e.g., photoresist) may be formed over the metal seed layer, with a plurality of openings patterned into the plating mask to expose portions of the metal seed layer. Next, a location pad 505 is formed in the opening of the plating mask, for example, using an electrochemical plating technique. The location pad 505 may be formed from copper, aluminum, nickel, palladium, alloys thereof, combinations thereof, or the like. The location pads 505 may be referred to herein as conductive pads, plated pads, bump pads, or pads.

After the landing pads 505 have been formed, the plating mask is removed, such as by ashing or chemical stripping processes, e.g., using an oxygen plasma or the like, and the underlying portions of the metal seed layer are exposed. Once the plating mask has been removed, the exposed portions of the metal seed layer are etched away.

With continued reference to fig. 6, a plurality of first molded through-holes (TMVs) 601 are formed over the first release film 503, according to some embodiments. The first through-mold via 601 may be formed by initially forming a second seed layer (which may be similar to the metal seed layer) over the first release film 503 and the landing pad 505. Once the second seed layer has been formed, a second plating mask (e.g., photoresist) (not shown) is deposited over the second seed layer and thus over the first release film 503 and the location pad 505. Once the second plating mask has been formed, the second plating mask may be patterned to expose those portions of the first release film 503 where the first molded via 601 will subsequently be formed. In embodiments where the second plating mask is photoresist, patterning of the second plating mask may be accomplished by: the photoresist is exposed in a plurality of desired locations of the first molding through-hole 601 and developed to remove exposed portions or unexposed portions of the photoresist. However, any suitable patterning process may be used to pattern the second plating mask.

Once the second plating mask has been patterned, a conductive material may be formed on the first release film 503. The conductive material may be a material such as copper, titanium, tungsten, aluminum, another metal, the like, or combinations thereof. The conductive material may be formed by a deposition process such as electroplating, electroless plating, and the like. However, although the materials and methods discussed are suitable for forming conductive materials, these are merely examples. The first molded via 601 may also be formed using any other suitable material or any other suitable forming process (e.g., CVD or PVD). Once the conductive material has been formed, the second plating mask can be removed by a suitable removal process, such as an ashing process or a chemical stripping process, for example using an oxygen plasma or the like, and the underlying portions of the second seed layer are exposed. Once the second plating mask has been removed, the exposed portions of the second seed layer may be etched away. In some embodiments, the first molded perforation 601 may have a fifth height H5 that is between about 5 μm and about 100 μm. However, any suitable height may be used for the first molded perforation 601.

Turning to fig. 7, this figure illustrates placing and attaching two of the local interconnects 107 to two sets of location pads 505, according to some embodiments. However, any suitable number of location pads 505 may be formed for the first release film 503 and any suitable number of local interconnects 107 may be attached. In some embodiments, only one local interconnect 107 is bonded, and in other embodiments, more than two local interconnects 107 are bonded. In addition, more than one type of local interconnect 107 may be bonded. For example, one or more of the attached local interconnects 107 may have more or may have less than four local redistribution traces 305. As another example, one or more of the attached local interconnects 107 may include one or more integrated devices that are different from the integrated devices included in other of the attached local interconnects 107 in the local interconnect 107.

The local interconnects 107 may be placed on the second carrier substrate 501 using, for example, a pick and place process. In some embodiments, once the solder material of the external component contact 407 makes physical contact with the location pad 505, a reflow process may be performed to bond the solder material of the external component contact 407 to the location pad 505 and thereby bond the local interconnect 107 to the second carrier substrate 501.

However, although the above process illustrates the use of solder bonding techniques to connect the local interconnects 107, this is intended to be exemplary and not intended to be limiting. Rather, the local interconnects 107 may be connected using any suitable bonding method, such as metal-to-metal bonding (metal-to-metal bonding), hybrid bonding (hybrid bonding), fusion bonding (fusion bonding), combinations thereof, and the like. All such methods are fully intended to be included within the scope of the embodiments.

Turning to fig. 8, once the local interconnects 107 have been attached, a first underfill 801 may be deposited in the gaps between each of the local interconnects 107 and the first release film 503. The first underfill 801 may be a material such as an underfill material, a Molding Underfill (MUF), a molding compound, an epoxy, a resin, and the like. The first underfill 801 protects the external device contact 407 and the first underbump metallization 409 and provides structural support for the local interconnect 107. In some embodiments, the first underfill 801 may be cured after deposition.

With continued reference to fig. 9, an encapsulation 901 is used to encapsulate the local interconnect 107 and the first through mold via 601 in accordance with some embodiments. Encapsulation may be performed using a molded device, or the encapsulant 901 may be deposited using another technique. The encapsulant 901 may be, for example, a molding compound such as Epoxy Molding Compound (EMC), a resin, a polyimide, polyphenylene sulfide (PPS), polyether ether ketone (PEEK), Polyether Ether (PES), another material, a similar material, or a combination thereof. The encapsulant 901 may surround and/or cover the local interconnects 107 and the first through mold via 601.

Fig. 10 illustrates a planarization process performed on the intermediate structure shown in fig. 9, in accordance with some embodiments. According to some embodiments, a planarization process may be performed to remove excess material from the encapsulant 901, the local interconnects 107, and/or the first through-mold vias 601. The planarization process may be performed, for example, using a mechanical grinding process, a Chemical Mechanical Polishing (CMP) process, a combination thereof, or the like. The planarization process removes excess portions of the encapsulant 901, excess material of the first carrier substrate 301, and/or exposes the first mold via 601 from the backside of the local interconnect 107. After the planarization process, a surface of the encapsulant 901 is coplanar with a surface of the first through-mold via 601 and/or a surface of the local interconnect 107 at a sixth height H6 that is between about 5 μm and about 100 μm. However, any suitable height may be used. Furthermore, in some embodiments, one or more of the local re-routed traces 305 and/or first vias 403 within the local interconnects 107 may be exposed at the coplanar surface after the planarization process. In other embodiments, the remaining material of the first isolation layer 303 and/or the first carrier substrate 301 at the backside of the local interconnects 107 is exposed at the coplanar surface.

Fig. 11 illustrates forming a front-side rewiring layer stack 1101 in an intermediate step of forming an integrated substrate structure 111, according to some embodiments. In some embodiments, front-side rerouting layer stack 1101 includes a plurality of front-side rerouting traces 1105, a plurality of second spacers 1107, and a plurality of second vias 1103, the second vias 1103 connecting the front-side rerouting traces 1105 to each other through the layers of the second spacers 1107. In the illustrated embodiment, front rerouting layer stack 1101 includes seven layers of front rerouting traces 1105 separated by seven layers of second spacer 1107 and includes six layers of second vias 1103 connecting front rerouting traces 1105 through second spacer 1107. However, front-side rerouting layer stack 1101 may include any suitable number of front-side rerouting traces 1105, second isolation layer 1107, and second vias 1103. Front-side rerouting trace 1105, second isolation layer 1107, and second via 1103 may be formed using any of the materials and processes suitable for forming local rerouting trace 305, first isolation layer 303, and first via 403 as described above for local interconnect 107. Furthermore, the dielectric materials of the second isolation layer 1107 may all be the same dielectric material or they may be different dielectric materials. Front-side rerouting traces 1105 may be referred to herein as front-side rerouting traces, front-side electrical wires, or front-side traces according to some embodiments.

In the embodiment shown in fig. 11, three layers of the second spacer layer 1107 contain a first dielectric material (e.g., (PBO)) (labeled 1107a) and four layers of the second spacer layer 1107 contain a second dielectric material (e.g., a mold compound) (labeled 1107 b). However, any suitable combination of dielectric materials and any suitable deposition process may be used to form second isolation layer 1107.

According to some embodiments, a first layer of a second isolation layer 1107 is formed over the coplanar surfaces of the encapsulation 901, the first through-mold via 601, and/or the local interconnect 107 using a first dielectric material (e.g., (PBO)) and using a deposition process (e.g., a spin-on process). However, any suitable material and process may be used to form the first layer of second spacer layer 1107. In some embodiments, second spacer 1107 is formed using the same dielectric material as first spacer 303. Thus, the second liner 1107 may have a coefficient of thermal expansion that is about the same as the coefficient of thermal expansion of the local interconnect 107. In other embodiments, second spacer layer 1107 is formed using a different dielectric material (e.g., a molding compound) than the dielectric material (e.g., PBO) used to form first spacer layer 303. According to some embodiments, second spacer layer 1107 may have a thickness between about 5 μm and about 100 μm.

A plurality of openings may be formed through the second spacer 1107 in a plurality of desired locations of the second via 1103 using any of the processes (e.g., photolithographic masking and etching) suitable for forming openings in the first spacer 303 as described above. However, any other suitable process (e.g., laser drilling) may also be used to form openings in second spacer layer 1107. Once an opening has been formed in the first layer of the second isolation layer 1107, the contact area of the first molding via 601 and/or the contact area at the backside of the local interconnect 107 is exposed through the opening.

According to some embodiments, the first layer of second via 1103 and/or the first layer of front-side rerouting trace 1105 may be formed by initially forming a third seed layer in the opening and over the first layer of second spacer 1107. The third seed layer may be formed using any of the materials (e.g., copper, titanium, combinations thereof, or the like) and deposition processes (e.g., PVD, CVD, combinations thereof, or the like) described above for forming the second seed layer. Once the third seed layer has been formed, a third plating mask may be formed over the third seed layer and patterned using any of the materials (e.g., photoresist) and processes (e.g., exposing and developing the photoresist) suitable for forming the second plating mask. The third plating mask is patterned to expose those portions of the third seed layer where the first layer of the second via 1103 and/or the first layer of the front-side rerouting trace 1105 will be subsequently formed. However, any suitable patterning process may be used to pattern the third plating mask.

Once the third plating mask has been patterned, a conductive material may be formed in the openings and over the exposed portions of the third seed layer. The conductive material may be a material such as copper, titanium, tungsten, aluminum, another metal, the like, or combinations thereof. The conductive material may be formed by a deposition process such as electroplating, electroless plating, and the like. However, although the materials and methods discussed are suitable for forming conductive materials, these are merely examples. The second via 1103 and the front-side rerouting trace 1105 may also be formed using any other suitable material or any other suitable formation process, such as CVD or PVD. Once the first layer of the second via 1103 and/or the first layer of the front-side re-routing trace 1105 has been formed, the third plating mask may be removed by any of the removal processes (e.g., ashing processes) suitable for removing any of the plating masks as described above.

Once the third plating mask has been removed, the exposed portions of the third metal seed layer are etched away, passing through the first layer of the second isolation layer 1107 and/or over the first layer of the second isolation layer 1107 and leaving the first layer of the second via 1103 and/or the first layer of the front-side rerouting trace 1105 in the desired location. According to some embodiments, the second via 1103 may have a critical dimension between about 5 μm and about 100 μm. However, any suitable size may be used for the second via 1103. In some embodiments, the front-side rerouting traces 1105 may have a critical dimension between about 1 μm and about 100 μm. However, any suitable dimensions may be used for the front-side rerouting traces 1105.

Once the first layer of second vias 1103 and/or the first layer of front-side rerouting traces 1105 have been formed, second spacer layers 1107, second vias 1103, and/or other layers of front-side rerouting traces 1105 may be formed in a stacked manner until the topmost layer of the desired front-side rerouting layer stack 1101 has been formed. As such, the first through-mold via 601 is electrically coupled to the second via 1103 and/or the topmost layer of the front-side rerouting trace 1105 through the front-side rerouting layer stack 1101. The topmost layer of front-side rerouting layer stack 1101 may be a layer of second isolation layer 1107, a layer of front-side rerouting traces 1105, or a layer of second vias 1103. In the embodiment shown in fig. 11, the topmost layer of the front-side rerouting layer stack 1101 is the layer of front-side rerouting traces 1105 and the topmost two layers of the second isolation layer 1107 are formed using a first dielectric material (e.g., (PBO)) (labeled 1107 a).

Turning to fig. 12, this figure shows peeling the second carrier substrate 501 from the intermediate structure shown in fig. 11 and attaching the intermediate structure to a third carrier substrate 1201. According to some embodiments, the intermediate structure is flipped over and bonded to a third carrier substrate 1201 for further processing, either before or after the peeling of the second carrier substrate 501. Peeling comprises projecting light such as laser light or UV light over the second carrier substrate 501 and on the first release film 503 so that the first release film 503 is decomposed by heat of the light, and the second carrier substrate 501 can be removed. A second release film 1203 may be formed on the third carrier substrate 1201 to facilitate the attachment of the front-side heavy wiring layer stack 1101 to the third carrier substrate 1201. The third carrier substrate 1201 and the second release film 1203 may be similar to those described above for the second carrier substrate 501 and the first release film 503.

With continued reference to fig. 13, this figure shows a thinning process being performed on the intermediate structure. The thinning process thins the intermediate structure by removing material of the encapsulant 901, the first through-mold vias 601, the first underfill 801, the location pads 505, the external component contacts 407, and/or the first underbump metallization 409. According to some embodiments, the location pads 505 and the external component contacts 407 are removed by a thinning process, and the encapsulant 901, the first through-mold vias 601, the first underfill 801 and the first underbump metallization 409 are planarized by the thinning process to form a coplanar surface at the front side of the intermediate structure. The thinning process may be performed using, for example, one or more of the following processes: grinding, Chemical Mechanical Planarization (CMP), wet etching, dry etching, combinations thereof, or the like. In the embodiment shown in fig. 13, the location pads 505 and the external component contacts 407 are removed and the first underbump metallization 409 is exposed at the front side of the intermediate structure.

Fig. 14 illustrates the formation of a backside rewiring layer 1401 for external connection of the integrated substrate structure 111 according to some embodiments. In some embodiments, the backside redistribution layer 1401 comprises a plurality of backside redistribution traces 1405, a plurality of third isolation layers 1407, and a plurality of third vias 1403, the third vias 1403 connecting the backside redistribution traces 1405 to each other through the layers of the third isolation layers 1407. In the illustrated embodiment, backside rewiring layer 1401 includes two layers of backside rewiring traces 1405 separated by three layers of third spacer layers 1407 and includes two layers of third vias 1403 connecting backside rewiring traces 1405 through third spacer layers 1407. However, the backside redistribution layer 1401 may include any suitable number of backside redistribution traces 1405, third isolation layers 1407, and third vias 1403. Backside reroute trace 1405, third isolation layer 1407 and third via 1403 may be formed using any of the materials and processes described above as being suitable for forming front reroute trace 1105, second isolation layer 1107 and second via 1103. The backside rerouted trace 1405 may be referred to herein as a backside rerouted trace, a backside conductive trace, a backside conductive line, a backside trace, a backside metallization layer, a conductive feature, or a conductive line, according to some embodiments.

In the embodiment shown in fig. 14, the third isolation layer 1407 can comprise, for example, a first dielectric material (e.g., (PBO)). According to some embodiments, the third isolation layer 1407 can have a thickness between about 2 μm and about 50 μm. However, any suitable material and any suitable deposition process may be used to form the third isolation layer 1407.

According to some embodiments, a first layer of a third isolation layer 1407 is formed over the coplanar surfaces of the encapsulant 901, the first through-mold via 601, the first underfill 801, the first under-bump metallization 409 using a first dielectric material (e.g., (PBO)) and using a deposition process (e.g., a spin-on process). However, any suitable materials and processes may be used to form the first layer of the third isolation layer 1407. In some embodiments, the third isolation layer 1407 is formed using the same dielectric material as the first isolation layer 303 of the local interconnect 107. Thus, the coefficient of thermal expansion of the third isolation layer 1407 may be about the same as the coefficient of thermal expansion of the local interconnect 107. In other embodiments, the third isolation layer 1407 is formed using a second dielectric material (e.g., a polymer material) that is different from the first dielectric material (e.g., PBO) used to form the first isolation layer 303. According to some embodiments, the third isolation layer 1407 can have a thickness between about 2 μm and about 50 μm.

A plurality of openings may be formed through the third isolation layer 1407 in a plurality of desired locations of the third via 1403 using any of the processes (e.g., photolithographic masking and etching) suitable for forming openings in any of the isolation layers described above. However, any other suitable process may be used to form an opening in the third spacer layer 1407. As such, the first molding via 601 and the contact area (e.g., the landing pad 505, the external device contact 407, or the first underbump metallization 409) for external connection to the local interconnect 107 are exposed through the opening. In the illustrated embodiment, the first underbump metallization 409 of the local interconnect 107 is exposed through the opening because the landing pad 505 and the external device contact 407 have been removed during the thinning process.

According to some embodiments, the first layer of the third via 1403 and/or the first layer of the backside reroute trace 1405 may be formed by initially forming a fourth seed layer in the opening and over the first layer of the third isolation layer 1407. The fourth seed layer may be formed using any of the materials (e.g., copper, titanium, or combinations thereof, etc.) and deposition processes (e.g., PVD, CVD, or combinations thereof, etc.) used to form any of the seed layers described above. Once the fourth seed layer has been formed, a fourth plating mask may be formed over the fourth seed layer and patterned using any of the materials (e.g., photoresist) and processes (e.g., exposing and developing the photoresist) suitable for forming any of the above-described plating masks. The fourth plating mask is patterned to expose those portions of the fourth seed layer where the first layer of the third via 1403 will be subsequently formed and/or the first layer of the backside reroute trace 1405. However, any suitable patterning process may be used to pattern the fourth plating mask.

Once the fourth plating mask has been patterned, a conductive material may be formed in the openings and over the exposed portions of the fourth seed layer. The conductive material may be a material such as copper, titanium, tungsten, aluminum, another metal, the like, or combinations thereof. The conductive material may be formed by a deposition process such as electroplating, electroless plating, and the like. However, although the materials and methods discussed are suitable for forming conductive materials, these are merely examples. The third via 1403 and backside reroute trace 1405 may also be formed using any other suitable material or any other suitable formation process, such as CVD or PVD. Once the first layer of the third via 1403 and/or the first layer of the backside reroute trace 1405 have been formed, the fourth plating mask may be removed by any of the removal processes (e.g., ashing processes) suitable for removing any of the plating masks as described above.

Once the fourth plating mask has been removed, the exposed portions of the fourth seed layer are etched away, leaving the first layer of the third via 1403 and/or the first layer of the backside reroute trace 1405 through the first layer of the third isolation layer 1407 and/or over the first layer of the third isolation layer 1407 and in the desired location. As such, the third via 1403 and/or the first layer of backside reroute trace 1405 are formed within the opening to the first through mold via 601 and the contact area for external connection to the local interconnect 107. In the illustrated embodiment, the third via 1403 is formed as the first underbump metallization 409 directly to the local interconnect 107, since the landing pad 505 and the external device contact 407 have been removed. In this way, a low resistance contact bond (low resistance contact bond) is formed between the first under bump metallization 409 and the third via 1403. The third via 1403 may have a critical dimension of between about 2 μm and about 55 μm according to some embodiments. However, any suitable size may be used for the third via 1403. In some embodiments, the backside rerouted trace 1405 may have a critical dimension between about 0.5 μm and about 10 μm. However, any suitable size may be used for the backside rerouted trace 1405.

Once the first layer of third vias 1403 and/or the first layer of backside reroute trace 1405 have been formed, third isolation layer 1407, third vias 1403, and/or other layers of backside reroute trace 1405 may be formed in a stacked manner until the topmost layer of the desired backside reroute layer 1401 has been formed. The topmost layer of the backside rewiring layer 1401 may be a layer of the third isolation layer 1407, a layer of the backside rewiring traces 1405, or a layer of the third vias 1403. In the embodiment shown in fig. 14, the topmost layer of the backside rewiring layer 1401 is the layer of backside rewiring traces 1405 and the topmost two layers of the third isolation layer 1407 are formed using a first dielectric material (e.g., (PBO)).

According to some embodiments, once the topmost layer of the third isolation layer 1407 has been formed, a plurality of second Under Bump Metals (UBMs) 1409 and a plurality of external device connectors (external device connectors)1411 are formed on the backside redistribution layer 1401. The second underbump metallization 1409 extends through the topmost layer of the third isolation layer 1407 and makes an electrical connection with the third via 1403 and/or the backside reroute trace 1405. In some embodiments, the second underbump metallization 1409 may be formed by, for example: a plurality of openings are formed in the topmost layer of the third isolation layer 1407 and then the conductive material of the second underbump metallization 1409 is formed over the third isolation layer 1407 and within the openings in the third isolation layer 1407. In some embodiments, the opening in the third isolation layer 1407 can be formed by: forming a photoresist over the third isolation layer 1407; patterning the photoresist; and etching the third isolation layer 1407 by patterning the photoresist using a suitable etching process (e.g., a wet etching process and/or a dry etching process).

In some embodiments, the second underbump metallization 1409 comprises three layers of conductive material, such as a titanium layer, a copper layer, and a nickel layer. Other arrangements of materials and layers, such as a chromium/chromium-copper alloy/copper/gold arrangement, a titanium/titanium tungsten/copper arrangement, or a copper/nickel/gold arrangement, may be utilized to form the second underbump metallization 1409. Any suitable material or layers of materials that can be used for the second underbump metallization 1409 are fully intended to be included within the scope of the present application. The conductive material of the second underbump metallization 1409 may be formed using one or more plating processes, such as an electroplating process or an electroless plating process, but other forming processes, such as sputtering, evaporation, or PECVD processes, may also be used. Once the conductive material of the second underbump metallization 1409 has been formed, portions of the conductive material may then be removed by a suitable photolithographic masking and etching process to remove undesired material. The remaining conductive material forms a second underbump metallization 1409.

With continued reference to fig. 14, according to some embodiments, an external device connector 1411 is formed over the second underbump metallization 1409. In some embodiments, external device connections 1411 may be hybrid bump (hybrid bump) constructions including Ball Grid Array (BGA) connections, solder balls, controlled collapse chip connection (C4) bumps, micro-bumps (e.g., μ bump), electroless nickel palladium immersion gold (ENEPIG) formed bumps, and the like, in any desired number and in any desired combination. External device connection 1411 may comprise a conductive material such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, similar materials, or combinations thereof. According to some embodiments, 1411 may have a pitch between about 20 μm and about 80 μm. In some embodiments, the external device connections 1411 are formed by initially forming a layer of solder by evaporation, plating, printing, solder transfer, ball-planting, or the like. Once the solder layer has been formed on the second underbump metallization 1409, reflow may be performed to shape the material into a desired shape. As such, the local interconnects 107 electrically couple two or more of the external device connections 1411 to each other, according to some embodiments. According to some embodiments, the first through-mold via 601 electrically couples an external device connection 1411 located at one side of the integration substrate structure 111 to a front-side re-routing trace 1105 located at an opposite side of the integration substrate structure 111. In some embodiments, the backside redistribution layer 1401 and the first through-mold via 601 electrically couple the local interconnect 107 to the front-side redistribution trace 1105 located at the opposite side of the integrated substrate structure 111.

Turning to fig. 15A, this figure illustrates the formation of an integrated substrate structure 111 in a wafer-form process, in accordance with some embodiments. Specifically, fig. 15A illustrates in a top view that a wafer-form process may utilize a circular wafer 1501 to form a plurality of integrated substrate structures 111. According to some embodiments, the plurality of integrated substrate structures 111 may be formed using wafer-level processing techniques. In the embodiment shown, four integrated substrate structures 111 are formed in a single wafer over the third carrier substrate 1201, and the four integrated substrate structures 111 are later singulated into individual structures. Although an example of forming four integrated substrate structures 111 in a single wafer is shown in fig. 15A, any suitable number may be used.

Fig. 15B shows an embodiment in which the third carrier substrate 1201 is a panel structure. According to some embodiments, a plurality of integrated substrate structures 111 is formed on a third carrier substrate 1201. In some embodiments, nine integrated substrate structures 111 may be formed in a panel structure. Once formed, the panel structure may be further processed and subsequently singulated into the respective structures of the integrated substrate structure 111. Once singulated, the integrated substrate structure 111 can be used to form packaged devices. In the illustrated embodiment, the panel structure may be singulated into nine integrated substrate structures 111. However, any suitable number of integrated substrate structures 111 may be formed in the panel structure. In some embodiments, the integrated substrate structure 111 may maintain wafer form or panel form during further processing, such as wafer-to-wafer bonding (wafer-to-wafer bonding) and/or wafer-level packaging.

With continued reference to fig. 16, this figure shows peeling off the third carrier substrate 1201 and attaching the integrated substrate structure 111 to a carrier tape 1601 (e.g., blue tape, UV film, etc.). According to some embodiments, integrated substrate structure 111 is flipped over and bonded to carrier tape 1601 either before or after third carrier substrate 1201 is stripped for further processing. The third carrier substrate 1201 may be peeled off similar to the process for removing any of the carrier substrates as described above. For example, the third carrier substrate 1201 may be peeled off by projecting light on the second release film 1203 such that the second release film 1203 is decomposed by heat of the light, and the third carrier substrate 1201 may be removed. Integrated substrate structure 111 can be flipped over and attached to carrier tape 1601 before or after the peeling. The carrier tape 1601 provides both adhesion and protection for the conformed surface of the backside redistribution layer 1401 and enables further processing at the backside of the integrated substrate structure 111. In some embodiments, the wafer or panel integrating the substrate structure 111 may be flipped over and bonded to the carrier tape 1601 for further processing.

Fig. 17 illustrates attaching interconnect structure 113 to integrated substrate structure 111. Interconnect structure 113 provides additional routing and stability for integrated substrate structure 111. For example, the interconnect structure 113 may reduce warpage of the integrated substrate structure 111 for devices having large areas (e.g., greater than about 70mm)2) Especially the interconnect structure 113.

According to some embodiments, the interconnect structure 113 may be, for example, an interposer (interposer) or a "semi-finished substrate," and may not include active devices. In some embodiments, the interconnect structure 113 includes a wiring layer formed on a core substrate 1701. The core substrate 1701 may comprise materials such as Ajinomoto build-up film (ABF), pre-impregnated composite fiber (prepreg) materials, epoxy resins, molding compounds, epoxy molding compounds, fiberglass reinforced resin materials, Printed Circuit Board (PCB) materials, silica fillers, polymeric materials, polyimide materials, paper, fiberglass, non-woven glass fabric (non-woven glass fabric), glass, ceramics, other laminates, similar materials, or combinations thereof. In some embodiments, the core substrate 1701 may be a double-sided Copper Clad Laminate (CCL) substrate or the like. According to some embodiments, the core substrate 1701 may have a thickness between about 30 μm and about 2000 μm, such as about 500 μm or about 1200 μm.

The interconnect structure 113 may have one or more routing structures 1703 formed on each side of the core substrate 1701 and a plurality of through holes 1705 extending through the core substrate 1701. The wiring structure 1703 and vias 1705 provide additional electrical wiring and interconnections. The routing structure 1703 may include one or more routing layers 1707 and one or more interlayer dielectric layers 1709. In some embodiments, routing layer 1707 and/or perforations 1705 may comprise one or more layers of copper, nickel, aluminum, other conductive materials, similar materials, or combinations thereof. In some embodiments, the interlayer dielectric layer 1709 may comprise, for example, build-up (build-up) material, ABF, prepreg material, laminate material, another material similar to that described above for the core substrate 1701, a similar material, or a combination thereof. Although the illustrated embodiment of the interconnect structure 113 includes two routing structures 1703 and each of the routing structures 1703 includes four routing layers 1707, the routing structures 1703 may include more or less than four routing layers 1707. According to some embodiments, one of the routing structures 1703 may include more routing layers 1707 than another of the routing structures 1703.

In some embodiments, the through-holes 1705 may include a via core (via core)1711 surrounded by a conductive material. The via core 1711 may be a filler material that provides structural support and protection for the conductive material of the vias 1705. In some embodiments, the filler material can be, for example, a molding material, an epoxy resin, an epoxy molding compound, a resin, a material containing monomers or oligomers (e.g., an acrylated urethane, a rubber-modified acrylated epoxy resin, or a multifunctional monomer), a similar material, or a combination thereof. In some embodiments, the filler material may include pigments or dyes (e.g., for dyeing) or other fillers and additives that modify rheology, improve adhesion, or affect other properties of the through-hole core 1711. In some embodiments, the conductive material of the perforations 1705 may completely fill the perforations 1705, thereby omitting the filler material.

In some embodiments, the interconnect structure 113 may include a passivation layer 1713 formed on one or more sides of the interconnect structure 113. The passivation layer 1713 can be a material such as a nitride, an oxide, a polyimide, a low temperature polyimide, a solder resist, or a combination thereof. Once formed, the passivation layer 1713 may be patterned (e.g., using suitable photolithography and etching processes) to expose portions of the routing layer 1707.

Fig. 17 further illustrates placing interconnect structure 113 in electrical connection with integration substrate structure 111, according to some embodiments. In an embodiment, the interconnect structure 113 is placed in physical contact with a plurality of external structure connectors 1715 (e.g., reflowable bumps) that have been placed on the integrated substrate structure 111. Interconnect structures 113 may be placed using, for example, a pick and place process. Once physically contacted, the external structure connectors 1715 of the integrated substrate structure 111 can be bonded to the interconnect structure 113 using a reflow process. In some embodiments, a plurality of external structural connectors 1715 are formed on the internal link structure 113 instead of, or in addition to, forming the external structural connectors 1715 on the integrated substrate structure 111. In some embodiments, the external structure connections 1715 are not formed on the integrated substrate structure 111, and the interconnect structure 113 is bonded to the integrated substrate structure 111 using a direct bonding technique, such as a thermal-compression bonding technique. However, any suitable bonding technique may be used.

Once the integrated substrate structure 111 and the interconnect structure 113 have been joined together by the external structure connection 1715, the interconnect structure 113 provides additional routing for the integrated substrate structure 111. According to some embodiments, a plurality of contact pads facing away from the integration-substrate structure 111 are electrically coupled to the integration-substrate structure 111 through the interconnect structure 113 and the external structure connectors 1715. Furthermore, according to some embodiments, one or more of external device connectors 1411 facing carrier tape 1601 may be electrically coupled to one or more of the contact pads facing away from integrated substrate structure 111 through integrated substrate structure 111 and interconnect structure 113.

In some embodiments, the interconnect structure 113 is attached to a wafer or panel of integrated substrate structures 111, such as in a reconstituted wafer process. In other embodiments, the interconnect structure 113 is in wafer form or panel form and is attached to the wafer or panel of the integrated substrate structure 111 in a wafer-to-wafer process.

Turning to FIG. 18, this figure illustrates the formation of a device redistribution module 1800 in accordance with some embodiments. Once interconnect structure 113 has been attached, device redistribution module 1800 may be formed by initially depositing a second underfill 1801 over carrier tape 1601, along sidewalls of integrated substrate structure 111, along sidewalls of interconnect structure 113, and in the gap between interconnect structure 113 and integrated substrate structure 111. Examples of the second underfill 1801 include, but are not limited to, underfill materials such as exposed molded underfill (eMUF), an underfill combined with exposed molding compound, a dispensable molded underfill, a molding compound, an epoxy, a resin, or combinations thereof, and the like. The second underfill 1801 may protect the external structure connectors 1715 and provide structural support for the integrated substrate structure 111. In some embodiments, the second underfill 1801 may be cured after deposition and/or may be thinned after deposition. Thinning may be performed, for example, using a mechanical grinding or CMP process. In some embodiments, a second underfill 1801 may be deposited over the wiring structure 1703 facing away from the integration substrate structure 111, the thinning may expose the topmost layer of the passivation layer 1713 facing away from the integration substrate structure 111, and an etching process is performed to expose the wiring layer 1707.

In embodiments where the interconnect structure 113 is attached to the integrated substrate structure 111 when the integrated substrate structure 111 is part of a wafer or panel, the second underfill 1801 may be formed prior to singulating the structure into the plurality of device redistribution modules 1800 such that the second underfill 1801 is planar with the integrated substrate structure 111. In embodiments where the interconnect structure 113 is in wafer form or panel form and is bonded to a wafer or panel of the integrated substrate structure 111 in a wafer-to-wafer process, the device redistribution module 1800 may be formed by initially singulating the structure into a plurality of discrete components and forming a second underfill 1801 over one or both of the discrete components. Any suitable combination of steps may be utilized.

Fig. 19 illustrates singulation and disassembly of device rerouting module 1800 from carrier tape 1601 and further illustrates placement of a plurality of semiconductor devices 106 over device rerouting module 1800 in accordance with some embodiments. Once removed from carrier tape 1601, the structure can be flipped over and singulated (e.g., by wafer sawing, laser drilling, etching, combinations thereof, or the like) into a plurality of device redistribution modules 1800.

In some embodiments, the semiconductor device 106 is placed over the external device connector 1411 by a pick and place process. The semiconductor devices 106 may be placed after singulating the device re-routing module 1800 from the wafer. However, in other embodiments, the semiconductor devices 106 may be placed and attached before singulation of the wafer.

Fig. 20 illustrates the bonding of the semiconductor devices 106 of the system in package 100, the formation of a third underfill 2001, and the attachment of a plurality of external package connectors 2003 according to some embodiments. The semiconductor device 106 may be placed such that a plurality of external contacts 1901 (e.g., contact pads, conductive connections, solder bumps, etc.) of the semiconductor device 106 align with corresponding ones 1411 of the external device connections 1411. Once physically contacted, the external contacts 1901 of the semiconductor device 106 may be bonded to the device redistribution module 1800 using a reflow process. In some embodiments, instead of or in addition to forming the external device connector 1411 on the second underbump metallization 1409 of the integrated substrate structure 111, the external device connector 1411 is formed on the external contact 1901 of the semiconductor device 106.

In some embodiments, external device connections 1411 are not formed on the integration substrate structure 111 and the semiconductor devices 106 are bonded to the integration substrate structure 111 using a direct bonding technique, such as a thermal compression bonding technique. However, any suitable bonding technique may be used. Fig. 20 further illustrates a section 115, the section 115 emphatically showing an arrangement between two of the semiconductor devices 106 electrically coupled to each other through one of the local interconnects 107.

According to some embodiments, once bonded, a third underfill 2001 may be deposited between each of the semiconductor devices 106 and the topmost layer of the third isolation layer 1407. The third underfill 2001 may also at least partially surround the external device connector 1411 and/or the second underbump metallization 1409. The third underfill 2001 may be a material such as a molding compound, epoxy, underfill, Molded Underfill (MUF), resin, etc., and may be similar to any of the other underfills described above. In some cases, the semiconductor devices 106 are at least partially connected to each other through local interconnects 107.

In embodiments where the semiconductor devices 106 are attached prior to singulation, once the third underfill 2001 has been formed, the wafer may be singulated. In some embodiments, the wafer may be singulated into multiple system packages 100 before forming the external package connectors 2003, but the wafer may also be singulated after forming the external package connectors 2003.

Once the third underfill 2001 has been formed, external package connectors 2003 may be formed at the back side of the system package 100. External package connectors 2003 may be formed on the exposed portions of the topmost layer of the wiring layer 1707 facing away from the integrated substrate structure 111. These exposed portions of the topmost layer may be referred to herein as external contact pads of the interconnect structure 113. In some embodiments, a UBM is formed on the external contact pads of the internal link 113, and an external package connection 2003 is formed over the UBM. External package connections 2003 may be, for example, contact bumps (bumps) or solder balls, although any suitable type of connection may be utilized. In embodiments where external package connectors 2003 are contact bumps, external package connectors 2003 may include: materials such as tin; or other suitable material such as silver, lead-free tin or copper. In embodiments where the external package connectors 2003 are solder balls, the external package connectors 2003 may be formed by initially forming a solder layer in the desired locations of the external package connectors 2003 using such techniques as evaporation, plating, printing, solder transfer, ball bumping, etc. Once the solder layer has been formed in the desired location, reflow may be performed to shape the material into the desired bump shape for the external package connector 2003. In some embodiments, external package connector 2003 may be similar to external structure connector 1715 and/or external device connector 1411 described above.

Fig. 21 illustrates another method of forming an integrated substrate structure 111 according to another embodiment. Specifically, fig. 21 shows an enlarged view of a section 115 of the system-in-package 100 using the integrated substrate structure 111 formed according to this embodiment, where the section 115 of fig. 21 corresponds to the section 115 highlighted in fig. 20.

In this embodiment of forming the integrated substrate structure 111, the thinning process for removing the location pads 505 and the external component contacts 407 (as described above with respect to fig. 13) is omitted. Thus, in this embodiment method of forming the integrated substrate structure 111, a first layer of the third isolation layer 1407 is formed over the location pad 505 in addition to forming the first layer of the third isolation layer 1407 over the first underfill 801, the first through-mold via 601, and the encapsulant 901. Further, a third via 1403 of the backside rewiring layer 1401 electrically coupled to the location pad 505 is formed over the location pad 505 in addition to the third via 1403 of the backside rewiring layer 1401 formed over the first through-mold via 601. As such, according to an alternative approach, the local interconnect 107 can be electrically coupled to the backside redistribution layer 1401 by external component contacts 407 (e.g., solder joints) bonded between the location pads 505 and the first underbump metallization 409.

Turning to fig. 22, a local interconnect 107 is illustrated in accordance with other embodiments. The local interconnect 107 shown in FIG. 22 is similar to the local interconnect 107 in FIG. 4B; however, a fourth isolation layer 2201 is formed in the local rewiring layer stack 401 instead of the first isolation layer 303. Furthermore, local interconnects 107 formed according to any of these other embodiments may be used in any of the embodiments disclosed herein to form integrated substrate structures 111.

In fig. 22 and referring to fig. 3, 4A and 4B together, a lamination process is used to form a first layer of fourth isolation layers 2201 over the first carrier substrate 301 to form a laminate sheet of dielectric material (laminate sheet) over the first carrier substrate 301 to form a first layer of first isolation layers 303. The dielectric laminate sheet may be formed using materials such as molding compounds, ajinomoto build-up films (ABF), pre-impregnated (prepreg) materials with fillers or fibers inside, epoxy resins, phenolic esters (phenoolic ester), cyanate esters (cyanate ester), phenol (phenol), fillers, glass fibers, combinations of these materials, and the like. Further, the lamination process may include processes such as transfer molding, spin coating, lamination, CVD, similar processes, or combinations thereof. Once placed, the dielectric laminate sheet is subjected to the process conditions (e.g., temperature, pressure, time) for laminating the dielectric laminate sheet to the surface of the first carrier substrate 301. Once laminated, an optional thinning process may be performed to planarize the surface of the fourth isolation layer 2201. The thinning process may include any suitable thinning process, such as CMP, grinding, wet etching, dry etching, combinations thereof, or the like. In some embodiments, the fourth isolation layer 2201 can have a thickness between about 0.5 μm and about 30 μm. However, any suitable material, process, and thickness may be utilized to form the fourth isolation layer 2201.

The first layer of local reroute traces 305 may be formed over the first layer of fourth isolation layer 2201 similar to the process described above for fig. 3. Once the first layer of local rerouting traces 305 has been formed, a first layer of second Through Mold Vias (TMVs) 2203 may be formed over the local rerouting traces 305. The second molding hole 2203 may be formed by initially forming a seed layer, a photoresist, a photolithography process, and a plating process similar to the process for forming the first molding hole 601 described above. According to some embodiments, the second through mold via 2203 may be formed with the second critical dimension CD1 and the second height H2 as described above for the first via 403. However, any suitable critical dimension and any suitable height may be used.

Once the first layer of second through-mold vias 2203 have been formed, a second layer of fourth isolation layer 2201 may be formed over the second through-mold vias 2203. According to some embodiments, a lamination process may be used to form the second layer of the fourth isolation layer 2201 to encapsulate the second through-mold vias 2203, although any suitable method may be utilized. In some embodiments, a second laminate sheet of dielectric material is placed over the exposed surface of the second through-mold via 2203 and the exposed surface of the first layer of the fourth isolation layer 2201. The second laminate sheet may be formed using any of the dielectric materials and processes described above as being suitable for forming the first laminate sheet. In some embodiments, the second laminate sheet has the same dielectric material as that used to form the first laminate sheet, but they may also be different. Once placed, a second dielectric laminate sheet is laminated to the exposed surface of the second through-mold via 2203 and the exposed surface of the first layer of the fourth isolation layer 2201. Once laminated, a thinning process may be performed to planarize the surface of the second layer of the fourth isolation layer 2201 with the second through-mold vias 2203. As such, the second through-mold via 2203 is exposed at the planarized surface of the second layer of the fourth isolation layer 2201.

In addition, other layers of the local rerouting trace 305, the second through mold via 2203 and the fourth isolation layer 2201 may be formed by lamination until the uppermost layer of the local rerouting layer stack 401 has been formed. In the illustrated embodiment, the topmost layer includes a layer of second molded perforations 2203 encapsulated in a layer of fourth insulation layer 2201. Also shown in the illustrated embodiment, five fourth isolation layers 2201, four local rerouting traces 305 and four second through mold vias 2203 are formed in local rerouting layer stack 401. However, any suitable number of these layers may be formed in local rewiring layer stack 401, and any of these layers may be used as the uppermost layer of local rewiring layer stack 401.

Fig. 22 further illustrates the formation of a plurality of first underbump metallurgy 409 and a plurality of external component contacts 407, in accordance with some embodiments. Once the uppermost layer of the local redistribution layer stack 401 has been formed, the first under bump metallization 409 may be formed over the first via 403 and over the exposed surface of the second through mold via 2203 at the uppermost layer similarly as described above. Once the first underbump metallization 409 has been formed, the external component contacts 407 may be formed over the first underbump metallization 409 as described above. According to some embodiments, each of the local interconnects 107 includes one or more of the local re-routed traces 305 and/or the second through-mold vias 2203 formed within the local interconnects 107 that electrically couple two or more of the external component contacts 407 to each other.

In still other embodiments of the local interconnect 107, the local redistribution layer stack 401 may include any suitable number and any suitable combination of layers of the first isolation layer 303 and the fourth isolation layer 2201. For example, the first and/or topmost layers of the local redistribution layer stack 401 may be layers of the first isolation layer 303 and the remaining layers of the local redistribution layer stack 401 may be layers of the fourth isolation layer 2201. As another example, the first and/or topmost layers of the local rewiring layer stack 401 may include layers of the fourth isolation layer 2201 and the remaining layers of the local rewiring layer stack 401 may include layers of the first isolation layer 303. These examples are intended to be illustrative and are not intended to be limiting. Rather, any suitable combination of layers of the first isolation layer 303 and the fourth isolation layer 2201 may be used to form the local redistribution layer stack 401. All such combinations are fully intended to be included within the scope of the embodiments. Once the local redistribution layer stack 401 has been formed, the local redistribution layer stack 401 may be singulated into a plurality of individual elements of the local interconnect 107 as described above with respect to fig. 4A and 4B.

Although several methods of forming the local redistribution layer stack 401 are set forth above, these methods are intended to be illustrative and not limiting. Rather, any suitable method may be utilized to form the local redistribution layer stack 401. For example, the local re-routing traces 305 may be formed using a damascene process in which a corresponding layer of the first isolation layer 303 (e.g., a low-dielectric constant (low-k) dielectric material) is patterned and etched using a photolithographic technique to form trenches corresponding to a desired pattern of the local re-routing traces 305 and/or the first vias 403. An optional diffusion barrier layer and/or an optional adhesion layer may be deposited and the trenches may be filled with a conductive material, such as copper. Suitable materials for the barrier layer include titanium, titanium nitride, tantalum nitride, or other alternatives, and suitable materials for the conductive material include copper, silver, gold, tungsten, aluminum, combinations thereof, or the like. In an embodiment, the local re-routing traces 305 may be formed by depositing a seed layer of copper or copper alloy and filling the trenches by electroplating. Chemical Mechanical Planarization (CMP) may be used to remove excess conductive material from the surface of the first isolation layer 303 and planarize the surface for subsequent processing. All such methods are fully intended to be included within the scope of the embodiments.

The present disclosure may also include other features and processes. For example, test structures may be included to facilitate proof testing of Three Dimensional (3D) packages or 3DIC devices. The test structures may include test pads formed, for example, in a redistribution layer or on a substrate to enable testing of 3D packages or 3DIC devices, use of probes and/or probe cards (probe cards), and the like. Verification tests may be performed on the intermediate structures as well as the final structure. Additionally, the structures and methods disclosed herein may be used in conjunction with testing methods that include intermediate verification of known good dies (known good die) to improve yield and reduce cost.

Embodiments may realize advantages including producing highly integrated SoIS packages with very low resistance (resistance) and very low delay (latency) designs in a very small footprint and with high component and board level reliability. In embodiments where the thinning process removes the location pad 505 and the external component contact 407 and the third via 1403 are bonded directly to the first underbump metallization 409, the substrate structure 111 and the local inner portion are integratedThe wiring 107 provides very low resistance and very low latency interconnections between connected semiconductor devices 106 (e.g., chip-to-chip, chip-to-memory, etc.). This is so even for component sizes greater than or equal to 2.0 masters (reticles), greater than or equal to 70mm2(e.g., 70mm by 70mm) package size and bump pitch less than or equal to about 130 μm, system-in-package 100 is also resistant to Chip Package Integration (CPI) and electro-migration (EM) problems (e.g., corner C4 bump fatigue (bump fatigue), C4 connector yield, and/or the like). In embodiments where the thinning process is omitted to form the integrated substrate structure 111, production time is reduced and production costs are minimized for the production of highly integrated system packages while still providing low resistance and low delay paths for connected semiconductor devices in a small footprint. As such, the system package 100 may be used for advanced networking (advanced networking) and server applications (e.g., High Performance Computing (HPC), Artificial Intelligence (AI), etc.) that operate at high data rates, high bandwidth requirements, and low latency. Still further, utilizing wafer level techniques used during fabrication in a silicon fabrication environment, increased system package reliability and high substrate yield may also be achieved.

According to an embodiment, a method of manufacturing a semiconductor system package includes: forming a plurality of conductive pads over a first carrier substrate; bonding local organic interconnects to the plurality of conductive pads, wherein the local organic interconnects comprise a second carrier substrate; encapsulating the local organic interconnect and the plurality of conductive pads in a molding compound; removing the second carrier substrate by planarizing the molding compound and a passivation material of the local organic interconnect; forming a first redistribution layer adjacent to the molding compound; forming a first external connection adjacent to the first redistribution layer; and forming a second external connection over the first redistribution layer, the second external connection electrically coupled to the first external connection through a local redistribution trace, the local redistribution trace embedded within the passivation material of the local organic interconnect. In an embodiment of the manufacturing method, forming the first re-wiring layer includes: removing the plurality of conductive pads from the under bump metallurgy of the local organic interconnect; and forming a plurality of conductive features of the first redistribution layer to the under bump metallization. In an embodiment of the method of manufacturing, forming the first redistribution layer includes forming a plurality of conductive features of the first redistribution layer to the plurality of conductive pads. In an embodiment of the manufacturing method, the local redistribution trace has a thickness of at least 0.5 μm. In an embodiment of the manufacturing method, the local redistribution trace has a first critical dimension of at least 2 μm. In an embodiment of the method of manufacturing, bonding the local organic interconnect includes performing solder reflow on a plurality of solder contacts of the local organic interconnect. In an embodiment, the method of manufacturing further comprises: forming a via over the first carrier substrate adjacent the plurality of conductive pads; encapsulating the via with the local organic interconnect and the plurality of conductive pads in the molding compound; forming a second redistribution layer adjacent a backside of the local organic interconnect, the second redistribution layer electrically coupled to the first redistribution layer through the via; and attaching an external connector to the second redistribution layer, the external connector being electrically coupled to the local organic interconnect.

In another embodiment, a method of manufacturing a semiconductor system package includes: forming a first positioning pad and a second positioning pad over a carrier substrate; attaching local interconnects to the first and second location pads, the first location pad being electrically coupled to the second location pad through a local conductive trace embedded within a passivation material of the local interconnects; forming a backside redistribution layer over the local interconnect electrically coupled to the local interconnect; forming a first external connection over the backside rewiring layer; and forming a second external connection over the backside redistribution layer, the second external connection being electrically coupled to the first external connection through the local conductive trace. In an embodiment of the method, attaching the local interconnect includes bonding the first location pad to a first under bump metallization of the local interconnect and bonding the second location pad to a second under bump metallization of the local interconnect. In an embodiment of the method of manufacturing, the bonding includes performing a solder reflow between a first external contact of the local interconnect and the first location pad and between a second external contact of the local interconnect and the second location pad. In an embodiment of the method of manufacturing, forming the backside redistribution layer further comprises forming a first redistribution trace over the first under-bump metal electrically coupled to the first under-bump metal and forming a second redistribution trace over the second under-bump metal electrically coupled to the second under-bump metal. In an embodiment of the manufacturing method, forming the first redistribution trace and the second redistribution trace further comprises: removing the first positioning pad, the second positioning pad, the first external contact and the second external contact; forming the first rewiring trace in contact with the first under-bump metal; and forming the second redistribution trace in contact with the second under bump metal. In an embodiment of the manufacturing method, forming the first redistribution trace and the second redistribution trace further comprises: forming the first reroute trace electrically coupled to the first location pad over the first location pad; and forming the second redistribution trace over the second location pad electrically coupled to the second location pad. In an embodiment, the method of manufacturing further comprises: forming a molded through-hole over the carrier substrate adjacent the first location pad; removing a support substrate from a backside of the local interconnect by planarizing the through mold via and the passivation material of the local interconnect; forming a front-side rewiring layer over the mold punch-through, the mold punch-through electrically coupling the front-side rewiring layer to the back-side rewiring layer; and forming a third external connection over the backside rewiring layer, the third external connection being electrically coupled to the front-side rewiring layer through the through-mold via. In an embodiment, the method of manufacturing further comprises: attaching an interconnect structure to a side of the front-side redistribution layer opposite the through-mold via.

In accordance with yet another embodiment, a semiconductor system package includes: local organic interconnects including local conductive traces embedded in a passivation material; a backside rewiring layer over the local organic interconnect, the backside rewiring layer electrically coupled to the local organic interconnect; a first external connection electrically coupled to the local organic interconnect through the backside redistribution layer; and a second external connection electrically coupled to the local organic interconnect through the backside redistribution layer, the second external connection electrically coupled to the first external connection through the local conductive trace. In an embodiment of the semiconductor system package, the local organic interconnect further comprises: a first under bump metal electrically coupling the first external connection to the local conductive trace; and a second under bump metallization electrically coupling the second external connection to the local conductive trace. In an embodiment of the semiconductor system package, the local organic interconnect further comprises: a first positioning pad electrically coupling the first under bump metallization to the backside redistribution layer; and a second location pad electrically coupling the second underbump metallurgy to the backside redistribution layer. In an embodiment of the semiconductor system package, the first and second underbump metallurgy are in physical contact with the backside redistribution layer. In an embodiment, the semiconductor system package further comprises: molding a through-hole embedded in the passivation material; a front-side rewiring layer adjacent to the mold perforation that electrically couples the front-side rewiring layer to the backside rewiring layer; and a third external connection over the backside rewiring layer, the third external connection being electrically coupled to the front-side rewiring layer through the through-mold via.

The foregoing has outlined features of several embodiments so that those skilled in the art may better understand the various aspects of the disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

48页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:一种芯片封装结构的制作方法及芯片封装结构

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类