Wafer alignment using form birefringence of objects or products

文档序号:54543 发布日期:2021-09-28 浏览:13次 中文

阅读说明:本技术 使用目标或产品的形状双折射的晶片对准 (Wafer alignment using form birefringence of objects or products ) 是由 J·亚当斯 林宇翔 K·肖梅 G·J·奈梅尔 I·M·P·阿蒂斯 于 2020-02-06 设计创作,主要内容包括:一种对准方法,包括:引导具有第一偏振态的照射束以形成来自对准目标的、具有第二偏振态的衍射束;和使所述衍射束传递通过偏振分析器。所述对准方法还包括:测量所述衍射束的偏振态;和根据所测量的偏振态,相对于其初始偏振态来确定所述对准目标的部位。所述对准目标包括具有单个间距以及两个或更多个占空比的多个衍射光栅,其中所述间距小于所述照射束的波长,并且所述对准目标的部位对应于所述衍射光栅的占空比。(An alignment method, comprising: directing the illumination beam having the first polarization state to form a diffracted beam from the alignment target having the second polarization state; and passing the diffracted beam through a polarization analyzer. The alignment method further includes: measuring the polarization state of the diffracted beam; and determining the location of the alignment target relative to its initial polarization state based on the measured polarization state. The alignment target includes a plurality of diffraction gratings having a single pitch and two or more duty cycles, wherein the pitch is less than the wavelength of the illumination beam and the locations of the alignment target correspond to the duty cycles of the diffraction gratings.)

1. A method, comprising:

directing the illumination beam having the first polarization state to form a diffracted beam from the alignment target having the second polarization state;

splitting the diffracted beam into a first polarized sub-beam and a second polarized sub-beam;

measuring the polarization states of the first and second polarized sub-beams; and

determining a location of the alignment target based on the measured polarization state.

2. The method of claim 1, wherein:

the alignment target includes a plurality of diffraction grating periods having a single pitch and two or more duty cycles;

the spacing is less than the wavelength of the illumination beam; and is

The target-aligned location corresponds to a duty cycle of the diffraction grating.

3. The method of claim 2, wherein the diffraction grating comprises a rectangular shape.

4. The method of claim 2, wherein the diffraction grating comprises an asymmetric shape having a top slope, a bottom slope, or a side wall angle.

5. The method of claim 2, wherein the diffraction grating comprises a sinusoidal shape.

6. The method of claim 2, wherein:

the illumination beam is linearly polarized; and is

The electric field of the illumination beam forms an angle between 0 and 90 degrees with respect to the grating lines.

7. The method of claim 1, wherein the illumination beam is circularly polarized or elliptically polarized.

8. A system, comprising:

a first optical system and a second optical system, wherein:

the first optical system is configured to direct an illumination beam having a first polarization state toward an alignment target and to direct a diffracted beam having a second polarization state from the alignment target to the second optical system; and is

The second optical system is configured to split the diffracted beam into a first polarized sub-beam and a second polarized sub-beam;

first and second detectors configured to measure polarization states from the first and second polarized sub-beams; and

a processor configured to determine a location of the alignment target from the measured polarization state.

9. The system of claim 8, wherein the first and second optical systems together are monolithically integrated onto a photonic circuit and coupled to the alignment target on the substrate.

10. The system of claim 9, wherein:

the substrate comprises silicon; and is

The first optical system and the second optical system include silicon photons.

11. The system of claim 8, wherein the first and second detectors are integrally integrated to a filter and beam shaping optics located in an integrated photonic package.

12. The system of claim 8, further comprising an optical link for the first and second optical systems using fiber optics.

13. The system of claim 8, wherein the alignment target comprises a plurality of diffraction gratings having a single pitch that is less than a wavelength of the illumination beam and two or more duty cycles.

14. The system of claim 13, wherein the diffraction grating comprises a rectangular shape.

15. The alignment system of claim 13, wherein the diffraction grating comprises an asymmetric shape having a top bevel, a bottom bevel, or a side wall angle.

16. The alignment system of claim 13, wherein the diffraction grating comprises a sinusoidal shape.

Technical Field

The present disclosure relates to alignment apparatuses and systems, for example, alignment sensor apparatuses for lithographic apparatuses and systems.

Background

A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. Lithographic apparatus can be used, for example, in the manufacture of Integrated Circuits (ICs). In that case, the patterning device (which is alternatively referred to as a mask or a reticle) may be used to generate a circuit pattern to be formed on an individual layer of the IC. The pattern can be transferred onto a target portion (e.g., comprising part of one or several dies) on a substrate (e.g., a silicon wafer). The transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. Typically, a single substrate will contain a network of adjacent target portions that are successively patterned. The known lithographic apparatus comprises: so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time; and so-called scanners, in which each target portion is irradiated by scanning the pattern through a radiation beam in a given direction (the "scanning" -direction) while synchronously scanning the target portion parallel or anti-parallel to this scanning direction. The pattern may also be transferred from the patterning device to the substrate by imprinting the pattern onto the substrate.

Another lithography system is an interference lithography system, in which there is no patterning device, but rather the beam is split into two beams, and the two beams are made to interfere at a target portion of the substrate by using a reflective system. The interference results in the formation of a line at the target portion of the substrate.

During a lithographic operation, different processing steps may require different layers to be formed sequentially on the substrate. Accordingly, it may be necessary to position the substrate with high accuracy relative to existing patterns formed on the substrate. Typically, the alignment mark is placed on the substrate to be aligned and positioned with respect to the second object. The lithographic apparatus may use an alignment apparatus to detect the position of the alignment marks and to align the substrate using the alignment marks to ensure accurate exposure from the mask. Misregistration between the alignment marks of two different layers is measured as an overlay error.

To monitor the lithographic process, parameters of the patterned substrate are measured. For example, parameters may include overlay error between successive layers formed in or on a patterned substrate, and critical line width (CD) of developed photoresist. The measurements may be performed on the production substrate and/or on dedicated metrology targets. There are a variety of techniques for measuring the microstructure formed during photolithography, including the use of scanning electron microscopes and various specialized tools. One fast and non-invasive form of specialized inspection tool is a scatterometer in which a beam of radiation is directed onto a target on the surface of a substrate and properties of the scattered or reflected beam are measured. By comparing the properties of the beam before and after reflection or scattering by the substrate, the properties of the substrate can be determined. This may be done, for example, by comparing the reflected beam to data stored in a library of known measurements associated with known substrate properties. A spectral scatterometer directs a broadband radiation beam onto a substrate and measures the spectrum (intensity as a function of wavelength) of the radiation scattered into a particular narrow angular range. In contrast, angle-resolved scatterometers use monochromatic radiation beams and measure the intensity of scattered radiation as a function of angle.

Such an optical scatterometer can be used to measure parameters such as the critical dimension of the developed photoresist or the overlay error (OV) between two layers formed in or on the patterned substrate. The properties of the substrate may be determined by comparing properties of the illumination beam before and after it is reflected or scattered by the substrate.

As semiconductor devices become smaller and finer, manufacturing tolerances continue to increase. Therefore, there is a continuing need for improved metrology measurements. One exemplary use of scatterometers is for Critical Dimension (CD) metrology, which is particularly useful for measuring patterned structures such as semiconductor wafers. Optical CD metrology techniques include dome scatterometry, spectral reflectometry, and spectroscopic ellipsometry. All of these techniques are based on measuring the reflected intensity of light of different polarizations at different incidence directions. This technique requires a high extinction ratio or polarization purity. A Polarizing Beam Splitter (PBS) divides light in polarization states to transmit p-polarized light while reflecting s-polarized light. While an ideal PBS may transmit 100% of p-polarization and reflect 100% of s-polarization, a true PBS may transmit and reflect a mixture of s-polarized light and p-polarized light. The ratio between P-polarized light and S-polarized light is called the extinction ratio. Optical CDs require a high extinction ratio.

Another exemplary use of scatterometers is for Overlay (OV) metrology, which is useful for measuring the alignment of stacked layers on a wafer. In order to control a lithographic process to accurately place device features on a substrate, alignment marks or targets are typically provided on the substrate, and lithographic apparatus include one or more alignment apparatus by which the positions of the marks on the substrate must be accurately measured. In one known technique, a scatterometer measures diffracted light from a target on a wafer.

Typically, lithographic alignment and metrology are two distinct steps, each performed with a dedicated tool. Alignment is a measure of the lateral position of the wafer required to accurately place the wafer below the lithography apparatus, and metrology typically assesses the completion of the operation after the next layer is printed. However, it is also possible to print the target with an offset slightly larger than the target diameter and to evaluate the offset overlap by the registered alignment tool. This offset overlay can be used for lithography machine verification, to verify direct overlay metrology by printing both offset and true overlay targets directly, i.e. continuously, or even as a feedback correction to the scanner itself. In these ways, the alignment tool may also be associated with lithographic metrology.

Ideally, overlay error is the only product of the positioning of the substrate within the lithography system. In practice, however, overlay errors arise from interactions between the alignment apparatus, the substrate, and the exact material type of the pattern (often referred to as a stack) that has been printed beneath the layer of interest and the geometry of the pattern. Variations in the alignment equipment and the substrate can produce errors in evaluating the true position of the alignment marks. Such errors are referred to as "in-process" accuracy errors. The alignment apparatus optics contain manufacturing aberrations and therefore cannot be made identical. Furthermore, diffraction-based alignment apparatus optics cannot distinguish between phase shifts caused by asymmetric variations in the alignment target, and phase differences between the diffraction orders of the alignment target. Substrates (e.g., wafer stacks) also have property variations due to manufacturing and post-manufacturing processes. Asymmetric variations in the alignment target due to machining can result in alignment errors of up to a few nanometers and are difficult to predict or calibrate. This "in-process" accuracy problem limits the robustness of the alignment device.

Therefore, there is a need for a system and method to provide alignment that is relatively insensitive to process and structural variations of the alignment marks or targets.

Disclosure of Invention

Embodiments of alignment systems and methods are described in this disclosure.

In some embodiments, a method comprises: directing an illumination beam having a first polarization state to form a diffracted beam from the alignment target having a second polarization state and splitting the diffracted beam into first and second polarized sub-beams. The method also includes: measuring a partial or full polarization state of the diffracted beam by performing an intensity measurement on the two sub-beams, and determining the location of the alignment target from the measured polarization state.

In some embodiments, the alignment target comprises a plurality of diffraction gratings having a single pitch, which must be less than the wavelength of the illumination beam, and two or more duty cycles. The target-aligned location corresponds to a duty cycle of the diffraction grating.

In some embodiments, the duty cycle of the grating is varied globally across the entire target.

In some embodiments, the duty cycle of the grating is made to exhibit a single local minimum or maximum across the entire target.

In some embodiments, the duty cycle of the grating varies across the target in a more complex and possibly periodic manner.

In some embodiments, the diffraction grating comprises a rectangular shape.

In some embodiments, the diffraction grating comprises an asymmetric shape having a top slope, a bottom slope, or a side wall angle.

In some embodiments, the diffraction grating comprises a sinusoidal shape.

In some embodiments, the illumination beam is linearly polarized and the electric field of the illumination beam forms an angle between 0 and 90 degrees with respect to the grating lines.

In some embodiments, the illumination beam is circularly polarized or elliptically polarized.

In some embodiments, the illumination beam is at normal incidence with respect to the alignment target.

Another aspect of the present disclosure provides an alignment system. The alignment system includes a first optical system and a second optical system. The first optical system is configured to direct an illumination beam having a first polarization state toward an alignment target and to direct a diffracted beam having a second polarization state from the alignment target to the second optical system. The second optical system is configured to split the diffracted beam into a first polarized sub-beam and a second polarized sub-beam. The alignment system further comprises: first and second detectors configured to measure polarization states of two orthogonal axes projected through the polarization analyzer from the first and second polarized sub-beams; and a processor configured to determine a location of the alignment target from the measured polarization state.

In some embodiments, the first and second optical systems are monolithically integrated with the alignment target on the substrate.

In some embodiments, the substrate comprises silicon.

In some embodiments, the first and second optical systems comprise silicon photons.

In some embodiments, the wafer and alignment sensor may be scanned relative to each other during measurement by a motion control system, and a single resolution element detector may be used.

In some embodiments, the wafer and alignment sensor may be fixed relative to each other during measurement, and the detector would require multiple resolution elements placed at the image conjugate to the wafer.

In some embodiments, the first detector and the second detector are integrally integrated on the substrate.

In some embodiments, fiber optics may be used as the optical link for the first and second optical systems.

In some embodiments, the alignment target comprises a plurality of diffraction gratings having a single pitch that is less than the wavelength of the illumination beam and two or more duty cycles.

Further features and advantages of the invention, as well as the structure and operation of various embodiments of the invention, are described in detail below with reference to the accompanying drawings. It should be noted that the present invention is not limited to the specific embodiments described herein. Such embodiments are presented herein for illustrative purposes only. Additional embodiments will be apparent to persons skilled in the relevant art(s) based on the teachings contained in this disclosure.

Drawings

The accompanying drawings, which are incorporated herein and form a part of the specification, illustrate the present invention and, together with the description, further serve to explain the principles of the invention and to enable a person skilled in the pertinent art to make and use the invention.

FIG. 1A is a schematic diagram of a reflective lithographic apparatus according to an example embodiment.

FIG. 1B is a schematic diagram of a transmissive lithographic apparatus according to an example embodiment.

FIG. 2 is a more detailed schematic diagram of a reflective lithographic apparatus according to an example embodiment.

FIG. 3 is a schematic diagram of a lithography unit according to an example embodiment.

Fig. 4A and 4B are schematic diagrams of an enhanced alignment apparatus according to various exemplary embodiments.

Figures 5A and 5B are top and cross-sectional views of a diffraction grating according to some embodiments.

FIG. 6 is a schematic diagram of an alignment system using form birefringence of an alignment target according to some embodiments.

Fig. 7A and 7B illustrate top and cross-sectional views of an alignment target having a single pitch and multiple duty cycles, according to some embodiments.

FIG. 8 illustrates y-projection polarization as a function of duty cycle of an alignment target according to some embodiments.

FIG. 9 illustrates an exemplary design of a duty cycle of an alignment target according to some embodiments.

Fig. 10 illustrates a flow chart for measuring an alignment position according to an exemplary embodiment.

The features and advantages of the present invention will become apparent from the detailed description set forth below when taken in conjunction with the drawings, in which like reference characters identify corresponding elements. In the drawings, like reference numbers generally indicate identical, functionally similar, and/or structurally similar elements. Additionally, in general, the left-most digit(s) of a reference number identifies the figure in which the reference number first appears. Unless otherwise indicated, the drawings provided throughout this disclosure should not be construed as being to scale.

Detailed Description

The present specification discloses one or more embodiments that incorporate the features of this invention. The disclosed embodiments are merely illustrative of the invention. The scope of the invention is not limited to the disclosed embodiments. The invention is defined by the appended claims.

References in the described embodiments and specification to "one embodiment," "an example embodiment," etc., indicate that the embodiment described may include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the art to effect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.

To facilitate describing the relationship of one element or feature to another element or feature as shown in the figures, spatially relative terms such as "below … …," "below … …," "below … …," "above … …," "above … …," "above … …," and the like may be used herein. Spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the terminology used herein is intended to be interpreted as spatially equivalent.

As used herein, the term "about" refers to a value of a given amount that may vary based on the particular technique. The term "about" may refer to a value of a given quantity that varies, for example, between 10% and 30% thereof (e.g., ± 10%, ± 20% or ± 30% of the value), depending on the particular technique.

Embodiments of the present disclosure may be implemented in hardware, firmware, software, or any combination thereof. Embodiments of the disclosure may also be implemented as instructions stored on a machine-readable medium, which may be read and executed by one or more processors. A machine-readable medium may include any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computing device). For example, a machine-readable medium may include Read Only Memory (ROM); random Access Memory (RAM); a magnetic disk storage medium; an optical storage medium; a flash memory device; electrical, optical, acoustical or other form of propagated signals (e.g., carrier waves, infrared signals, digital signals, etc.), and others. Further, firmware, software, routines, and/or instructions may be described herein as performing certain actions. However, it should be understood that such descriptions are merely for convenience and that such actions in fact result from computing devices, processors, controllers, or other devices executing the firmware, software, routines, instructions, etc.

However, before describing such embodiments in more detail, it is beneficial to provide an example environment in which embodiments of the present disclosure can be implemented.

Example lithography System

FIG. 1A and FIG. 1B are schematic diagrams of a lithographic apparatus 100 and a lithographic apparatus 100', respectively, in which embodiments of the invention may be implemented. The lithographic apparatus 100 and the lithographic apparatus 100' each comprise: an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. DUV or EUV radiation); a support structure (e.g. a mask table) MT configured to support a patterning device (e.g. a mask, a reticle, or a dynamic patterning device) MA and connected to a first positioner PM configured to accurately position the patterning device MA; and a substrate table (e.g. a wafer table) WT configured to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate W. Lithographic apparatus 100 and 100' also have a projection system PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion (e.g., comprising one or more dies) C of the substrate W. In the lithographic apparatus 100, the patterning device MA projection system PS is reflective. In the lithographic apparatus 100', the patterning device MA and the projection system PS are transmissive.

The illumination system IL may include various types of optical components, such as refractive, reflective, catadioptric, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling the radiation beam B.

The support structure MT holds the patterning device MA in the following manner: depending on the orientation of the patterning device MA with respect to the reference frame, the design of at least one of the lithographic apparatus 100 and 100', and other conditions (such as whether or not the patterning device MA is held in a vacuum environment). The support structure MT may use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device MA. The support structure MT may be, for example, a frame or a table, which may be fixed or movable as required. By using sensors, the support structure MT can ensure that the patterning device MA is at a desired position, for example with respect to the projection system PS.

The term "patterning device" MA should be broadly interpreted as: refers to any device that may be used to impart a radiation beam B with a pattern in its cross-section such as, for example, a device that generates a pattern in a target area C of the substrate W. The pattern imparted to the radiation beam B may correspond to a particular functional layer in a device being created in the target portion C in order to create an integrated circuit.

The patterning device MA may be transmissive (as in the lithographic apparatus 100' of fig. 1B) or reflective (as in the lithographic apparatus 100 of fig. 1A). Examples of patterning device MA include reticles, masks, programmable mirror arrays, or programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, or attenuated phase-shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in the radiation beam B which is reflected by a matrix of small mirrors.

The term "projection system" PS can encompass any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum on the substrate W. A vacuum environment may be used for EUV or electron beam radiation, as other gases may absorb too much radiation or electrons. Thus, a vacuum environment may be provided to the entire beam path by means of the vacuum wall and the vacuum pump.

The lithographic apparatus 100 and/or lithographic apparatus 100' may be of a type having two (dual stage) or more substrate tables WT (and/or two or more mask tables). In such "multiple stage" machines the additional substrate tables WT may be used in parallel, or a preparation step may be performed on one or more tables while exposure is being performed on one or more other substrate tables WT. In some cases, the additional table may not be the substrate table WT.

The lithographic apparatus may also be of a type wherein: wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g. water, so as to fill a space between the projection system and the substrate. Immersion liquids may also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems. The term "immersion" as used herein does not mean that a structure, such as a substrate, must be submerged in liquid, but rather only means that liquid is located between the projection system and the substrate during exposure.

Referring again to fig. 1A and 1B, the illuminator IL receives a radiation beam from a radiation source SO. The light source SO and the lithographic apparatus 100, 100' may be separate physical entities, for example when the light source SO is an excimer laser. In such cases, the source SO is not considered to form part of the lithographic apparatus 100, 100' and the radiation beam B is passed from the source SO to the illuminator IL with the aid of a beam transmission system BD (in FIG. 1B) comprising, for example, suitable directing mirrors and/or a beam expander. In other cases, the light source SO may be an integral part of the lithographic apparatus 100, 100', for example when the light source SO is a mercury lamp. The source SO and the illuminator IL, together with the beam transmission system BD if required, may be referred to as a radiation system.

The illuminator IL may include an adjuster AD (FIG. 1B) for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as σ -outer and σ -inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. IN addition, the illuminator IL may include various other components (FIG. 1B), such as an integrator IN and a condenser CO. The illuminator IL may be used to condition the radiation beam B, to have a desired uniformity and intensity distribution in its cross-section.

Referring to fig. 1A, a radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT, and is patterned by the patterning device MA. In lithographic apparatus 100, the radiation beam B is reflected from the patterning device (e.g., mask) MA. After reflection from the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the radiation beam B onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF2 (e.g. an interferometer, linear encoder or capacitive sensor), the substrate table WT can be moved accurately (e.g. so as to position different target portions C in the path of the radiation beam B). Similarly, the first positioner PM and another position sensor IF1 can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B. Patterning device (e.g. mask) MA and substrate W may be aligned using mask alignment marks M1, M2 and substrate alignment marks P1, P2.

Referring to FIG. 1B, the radiation beam B is incident on the patterning device (e.g., mask MA), which is held on the support structure (e.g., mask table MT), and is patterned by the patterning device. After passing through the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. The projection system has an illumination system pupil IPU relative to a pupil conjugate PPU. A portion of the radiation emanates from the intensity distribution at the illumination system pupil IPU and passes through the mask pattern without being affected by diffraction at the mask pattern, and an image of the intensity distribution is produced at the illumination system pupil IPU.

The projection system PS projects an image MP 'of the mask pattern MP onto a photoresist layer coated on the substrate W, wherein the image MP' is formed by the diffracted beam generated by the marker pattern MP by radiation from the intensity distribution. For example, the mask pattern MP may include an array of lines and spaces. Diffraction of radiation at the array other than zero order diffraction will produce a diverted diffracted beam having a change in direction in a direction perpendicular to the lines. The undiffracted beam (i.e. the so-called zero-order diffracted beam) traverses the pattern without any change in the direction of propagation. The zero order diffracted beam passes through an upper lens or upper lens group of the projection system PS (upstream of the pupil conjugate PPU of the projection system PS) to reach the pupil conjugate PPU. A part of the intensity distribution in the pupil conjugate PPU plane and associated with the zero order diffracted beam is an image of the intensity distribution in the illumination system pupil IPU of the illumination system IL. The aperture arrangement PD is for example arranged (or substantially located) at a plane comprising the pupil conjugate PPU of the projection system PS.

The projection system PS is arranged to capture not only the zero order diffracted beam, but also the first or first and higher order diffracted beams (not shown) by means of a lens or lens group L. In some embodiments, dipole illumination, which is used to image a line pattern extending in a direction perpendicular to the line, may be used to take advantage of the resolution enhancement effect of dipole illumination. For example, the first order diffracted beam interferes with the corresponding zero order diffracted beam at the level of the wafer W to produce an image of the line pattern MP having as high a resolution and process window as possible (i.e., the available depth of focus combined with the tolerable exposure dose deviation). In some embodiments, astigmatic aberrations may be reduced by providing emitters (not shown) in opposite quadrants of the illumination system pupil IPU. Furthermore, in some embodiments, astigmatic aberrations may be reduced by blocking the zeroth order beam associated with the radiation poles in the opposite quadrant in the pupil conjugate PPU of the projection system. This is described in more detail in US 7,511,799B 2 issued 3 months 31 of 2009, the entire content of which is incorporated herein by reference.

With the aid of the second positioner PW and position sensor IF (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately (e.g. so as to position different target portions C in the path of the radiation beam B). Similarly, the first positioner PM and another position sensor (which is not depicted in fig. 1B) can be used to accurately position the mask MA with respect to the path of the radiation beam B (e.g. after mechanical retrieval from a mask library, or during a scan).

In general, movement of the mask table MT may be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioner PM. Similarly, movement of the substrate table WT may be realized using a long-stroke module and a short-stroke module, which form part of the second positioner PW. In the case of a stepper (as opposed to a scanner) the mask table MT may be connected to a short-stroke actuator only, or may be fixed. Mask MA and substrate W may be aligned using mask alignment marks M1, M2 and substrate alignment marks P1, P2. Although the substrate alignment marks (as shown) occupy dedicated target portions, they may be located in spaces between target portions (referred to as scribe-lane alignment marks). Similarly, in situations in which more than one die is provided on the mask MA, the mask alignment marks may be located between the dies.

The mask table MT and the patterning device MA may be in a vacuum chamber V, where a vacuum robot IVR may be used to move the patterning device, such as a mask, into and out of the vacuum chamber. Alternatively, when the mask table MT and the patterning device MA are outside the vacuum chamber, an out-of-vacuum robot may be used for various transport operations, similar to the vacuum robot IVR. Both in-vacuum and out-of-vacuum robots need to be calibrated to smoothly transfer any payload (e.g., mask) onto the fixed motion mounts of the transfer station.

The lithographic apparatus 100 and 100' may be used in at least one of the following modes:

in step mode, the support structure (e.g., mask table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam B is projected onto a target portion C at one time (i.e., a static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.

In scan mode, the support structure (e.g. mask table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam B is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the support structure (e.g. mask table) MT may be determined by the (de-) magnification and image reversal characteristics of the projection system PS.

In another mode, the support structure (e.g., mask table) MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam B is projected onto a target portion C. A pulsed radiation source SO may be employed and the programmable patterning device updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array.

Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed.

In another embodiment, the lithographic apparatus 100 comprises an Extreme Ultraviolet (EUV) source configured to output a beam of EUV radiation for EUV lithography. Typically, an EUV source is configured in a radiation system, and a respective illumination system is configured to condition an EUV radiation beam of the EUV source.

FIG. 2 shows the lithographic apparatus 100 in more detail, including the source collector apparatus SO, the illumination system IL and the projection system PS. The source collector apparatus SO is constructed and arranged such that a vacuum environment can be maintained in the enclosure 220 of the source collector apparatus SO. The EUV radiation of the emitting plasma 210 may be formed by a discharge produced plasma source. EUV radiation may be produced from a gas or vapor, such as xenon, lithium vapor, or tin vapor, wherein a very hot plasma 210 is generated to emit radiation in the EUV range of the electromagnetic spectrum. The very hot plasma 210 is generated by, for example, an electrical discharge that causes an at least partially ionized plasma. For efficient generation of radiation, partial pressures of Xe, Li, Sn vapor, for example, of 10Pa, or any other suitable gas or vapor may be required. In one embodiment, an energized tin (Sn) plasma is provided to generate EUV radiation.

Radiation emitted by the thermal plasma 210 enters the collector chamber 212 from the source chamber 211 via an optional gas barrier or contaminant trap 230 (also referred to as a contaminant barrier or foil trap in some cases), the gas barrier or contaminant trap 230 being positioned in or behind an opening in the source chamber 211. Contaminant trap 230 may include a channel structure. The contaminant trap 230 may also include a gas barrier or a combination of a gas barrier and a channel structure. Further indicated herein, the contaminant trap or contaminant barrier 230 includes at least a channel structure.

The collector chamber 212 may comprise a radiation collector CO, which may be a so-called grazing incidence collector. The radiation collector CO has an upstream radiation collector side 251 and a downstream radiation collector side 252. Radiation passing through the collector CO may reflect off the grating spectral filter 240 to be focused on the virtual source point IF. The virtual source point IF is usually referred to as intermediate focus, and the source collector device is arranged such that the intermediate focus IF is located at or near the opening 219 in the enclosing structure 220. The virtual source point IF is an image of the radiation emitting plasma 210. The grating spectral filter 240 is particularly used to suppress Infrared (IR) radiation.

The radiation then passes through an illumination system IL, which may comprise a facet field mirror device 222 and a facet pupil mirror device 224, the facet field mirror device 222 and the facet pupil mirror device 224 being arranged to: a desired angular distribution of the radiation beam 221 is provided at the patterning device MA, and a desired uniformity of radiation intensity is provided at the patterning device MA. When the radiation beam 221 reflects at the patterning device MA, which is held by the support structure MT, a patterned beam 226 is formed and the patterned beam 226 is imaged by the projection system PS via reflective elements 228, 229 onto a substrate W held by the wafer or substrate table WT.

There may typically be more elements in the illumination optics IL and projection system PS than shown. Depending on the type of lithographic apparatus, a grating spectral filter 240 may optionally be present. Furthermore, there may be more mirrors than shown in fig. 2, for example, there may be one to six additional reflective elements in the projection system PS than shown in fig. 2.

As an example of a collector (or collector mirror) only, the collector optic CO as shown in fig. 2 is depicted as a nested collector with grazing incidence reflectors 253, 254, and 255. Grazing incidence reflectors 253, 254 and 255 are arranged axially symmetrically about optical axis O, and collector optics CO of this type are preferably used in conjunction with a discharge produced plasma source (commonly referred to as a DPP source).

Exemplary lithography Unit

FIG. 3 shows a lithography unit 300, sometimes referred to as a lithography unit or cluster. The lithographic apparatus 100 or 100' may form part of a lithographic cell 300. Lithography unit 300 may also include one or more devices for performing pre-exposure and post-exposure processes on a substrate. Conventionally, these one or more devices include: a spin coater SC for depositing a resist layer, a developer DE for developing the exposed resist, a cooling plate CH, and a baking plate BK. The substrate handler or robot RO picks up substrates from the input/output ports I/O1, I/O2, moves them between different processing devices, and then transfers them to the feed table LB of the lithographic apparatus 100 or 100'. These devices (generally referred to collectively as coating and developing devices) are under the control of a coating and developing device control unit TCU, which itself is controlled by a supervisory control system SCS, which also controls the lithographic apparatus via the lithographic control unit LACU. Thus, different equipment may be operated to maximize throughput and processing efficiency.

Example alignment apparatus Using interferometer

In order to control a lithographic process to accurately place device features on a substrate, an alignment "mark" or "target" is typically provided on the substrate, and lithographic apparatus includes one or more alignment apparatuses and/or systems by which the position of the mark on the substrate must be accurately measured. These alignment devices are in fact position measurement devices. Different types of markers and different types of alignment devices and/or systems from different times and different manufacturers are known. One system currently in widespread use in lithographic apparatus is based on a self-referencing interferometer (as described in U.S. Pat. No.6,961,116 (den Boef et al)). Typically, the marks are measured separately to obtain the X and Y positions. However, the combined X and Y measurements may be performed using the techniques described in U.S. publication No. 2009/195768A (Bijnen et al). The disclosures of these publications in their entireties are hereby incorporated by reference.

FIG. 4A shows a schematic cross-sectional view of an alignment apparatus 400 according to an embodiment, which alignment apparatus 400 may be implemented as part of the lithographic apparatus 100 or 100'. In an example of this embodiment, the alignment apparatus 400 may be configured to align a substrate (e.g., substrate W) with respect to a patterning device (e.g., patterning device MA). The alignment apparatus 400 may also be configured to detect the position of alignment marks on the substrate and use the detected position of the alignment marks to align the substrate relative to the patterning device or other component of the lithographic apparatus 100 or 100'. Such alignment of the substrate may ensure accurate exposure of one or more patterns on the substrate.

According to an embodiment, according to an example of this embodiment, the alignment apparatus 400 may comprise an illumination system 412, a beam splitter 414, an interferometer 426, a detector 428, a beam analyzer 430 and an overlap calculation processor 432. The illumination system 412 may be configured to provide a narrow band beam 413 of electromagnetic radiation having one or more passbands. In one example, the one or more passbands may be within the spectrum of wavelengths between about 500nm and about 900 nm. In another example, the one or more passbands may be discrete narrow passbands having wavelengths within the spectrum between about 500nm and about 900 nm. The illumination system 412 may also be configured to provide one or more pass bands having a substantially constant Center Wavelength (CWL) value over a long period of time (e.g., over the lifetime of the illumination system 412). As described above, in a current alignment system, such a configuration of the illumination system 412 can help prevent the actual CWL value from shifting from the desired CWL value. And, as a result, the use of constant CWL values may improve the long-term stability and accuracy of the alignment system (e.g., alignment apparatus 400) compared to current alignment apparatuses.

According to one embodiment, the beam splitter 414 may be configured to receive the radiation beam 413 and to split the radiation beam 413 into at least two radiation sub-beams. In one example, the radiation beam 413 can be split into radiation sub-beams 415 and 417, as shown in FIG. 4A. The beam splitter 414 may also be configured to direct a radiation sub-beam 415 onto a substrate 420 placed on a stage 422. In one example, stage 422 may move in direction 424. The radiation beamlets 415 may be configured to illuminate an alignment mark or target 418 positioned on a substrate 420. In an example of this embodiment, the alignment marks or targets 418 may be coated with a radiation sensitive film. In another example, the alignment mark or target 418 may have one hundred eighty degrees (i.e., 180 °) of symmetry. That is, when the alignment mark or target 418 is rotated 180 ° about an axis of symmetry perpendicular to the plane of the alignment mark or target 418, the rotated alignment mark or target 418 may be substantially the same as the non-rotated alignment mark or target 418. Targets 418 on substrate 420 may be: (a) a resist layer grating comprising bars formed from solid resist lines, or (b) a product layer grating, or (c) a composite grating stack in an overlay target structure comprising a resist grating superimposed or interleaved on a product layer grating. Alternatively, the grid may be etched into the substrate. The pattern is sensitive to chromatic aberrations in the lithographic projection apparatus, in particular the projection system PL, and illumination symmetry and the presence of such aberrations will manifest themselves in variations in the printed grating. One on-line method used in device fabrication to perform measurements of line width, pitch, and critical dimensions utilizes a technique known as "scatterometry". Scattering measurement methods are described in "Multi parameter measuring Using Optical Metrology" by Raymond et al, Vol.15, No.2, pp.361-368(1997) and SPIE, "Spectroscopic Metrology in DUV Lithography" by Niu et al, Vol.3677(1999), both of which are incorporated herein by reference in their entirety. In scatterometry, light is reflected by periodic structures in the target and the resulting reflection spectrum is detected at a given angle. The structure that produces the reflection spectrum is reconstructed, for example, using Rigorous Coupled Wave Analysis (RCWA) or by comparison with a library of simulated patterns. Thus, the scatter data of the printed grating is used to reconstruct the grating. The parameters of the grating, such as the line width and shape, may be input into the reconstruction process performed by the processing unit PU based on knowledge of the printing step and/or other scatterometry processes.

According to an embodiment, the beam splitter 414 may also be configured to receive the diffracted radiation beam 419 and to split the diffracted radiation beam 419 into at least two radiation sub-beams. In one example, the diffracted radiation beam 419 may be split into diffracted radiation sub-beams 429 and 439, as shown in fig. 4A.

It should be noted that even though the beam splitter 414 is shown directing the radiation sub-beam 415 towards the alignment mark or target 418, and directing the diffracted radiation sub-beam 429 towards the interferometer 426, the disclosure is not so limited. It will be clear to those skilled in the relevant art that other optical arrangements can be used to achieve similar results as follows: illuminating alignment marks or targets 418 on substrate 420, and detecting images of alignment marks or targets 418.

As shown in fig. 4A, interferometer 426 may be configured to receive radiation sub-beam 417 and diffracted radiation sub-beam 429 through beam splitter 414. In one example embodiment, the diffracted radiation sub-beam 429 may be at least a portion of the radiation sub-beam 415 that may be reflected from the alignment mark or target 418. In an example of this embodiment, interferometer 426 includes any suitable set of optical elements, e.g., may be configured as a combination of prisms that form two images of alignment mark or target 418 based on received diffracted radiation beamlets 429. It should be understood that a high quality image need not be formed, but the features of alignment marks 418 should be resolved. The interferometer 426 may also be configured to rotate one of the two images by 180 ° relative to the other of the two images and interferometrically recombine the rotated and unrotated images.

In one embodiment, detector 428 may be configured to receive the recombined image via interferometer signal 427 and detect interference due to the recombined image when alignment axis 421 of alignment apparatus 400 passes through a center of symmetry (not shown) of alignment mark or target 418. This interference may be due to the alignment marks or targets 418 being 180 ° symmetric, and the recombined images interfere constructively or destructively according to an example embodiment. Based on the detected interference, detector 428 may also be configured to determine the position of the center of symmetry of alignment mark or target 418, and thus, detect the position of substrate 420. According to one example, alignment axis 421 can be aligned with a beam that is perpendicular to substrate 420 and passes through the center of image rotation interferometer 426. The detector 428 may also be configured to estimate the position of the alignment mark or target 418 by implementing sensor characteristics and interacting with wafer marking process variations.

In another embodiment, the detector 428 determines the location of the center of symmetry of the alignment mark or target 418 by performing one or more of the following measurements:

1. measuring the positional change (positional shift between colors) of various wavelengths;

2. measuring the positional changes of the various orders (positional shifts between diffraction orders); and

3. the positional change (positional offset between polarizations) of the various polarizations is measured.

For example, this data may be acquired using any type of alignment sensor, such as a SMASH (SMart alignment sensor hybrid) sensor, as described in U.S. patent No.6,961,116, which employs a self-referencing interferometer with a single detector and four different wavelengths, and extracts the alignment signal in software or ATHENA (advanced techniques using higher order alignment enhancements), as described in U.S. patent No.6,297,876, which directs each of the seven diffraction orders to a dedicated detector, both of which are incorporated herein by reference in their entirety.

In one embodiment, beam analyzer 430 may be configured to receive and determine the optical state of diffracted radiation sub-beam 439. The optical state may be a measure of the beam wavelength, polarization or beam profile. The beam analyzer 430 may also be configured to determine the position of the stage 422 and correlate the position of the stage 422 with the position of the center of symmetry of the alignment mark or target 418. In this way, with reference to stage 422, the position of alignment mark or target 418, and thus substrate 420, may be accurately known. Alternatively, the beam analyzer 430 may be configured to determine the position of the alignment device 400 or any other reference element so that the center of symmetry of the alignment mark or target 418 may be known with reference to the alignment device 400 or any other reference element. The beam analyzer 430 may be a point or imaging polarimeter with some form of band selectivity. According to one embodiment, according to other embodiments, the beam analyzer 430 may be integrated directly into the alignment apparatus 400, or connected via several types of optical fibers: polarization preserving singlemode, multimode, or imaging.

In one embodiment, beam analyzer 430 may also be configured to determine overlay data between two patterns on substrate 420. One of the patterns may be a reference pattern on a reference layer. The other pattern may be an exposure pattern on the exposure layer. The reference layer may be an already existing etch layer on the substrate 420. The reference layer may be generated by the lithographic apparatus 100 and/or 100' exposing a reference pattern on the substrate. The exposed layer may be an exposed resist layer adjacent to the reference layer. An exposure layer may be generated by lithographic apparatus 100 or 100' exposing an exposure pattern on substrate 420. The exposure pattern on substrate 420 may correspond to a movement of stage 422 relative to substrate 420. In one embodiment, the measured overlay data may also indicate a shift between the reference pattern and the exposure pattern. The measured overlay data may be used as calibration data to calibrate the exposure pattern exposed by the lithographic apparatus 100 or 100' such that, after calibration, the offset between the exposed layer and the reference layer may be minimized.

In one embodiment, beam analyzer 430 may also be configured to determine a model of the product stack profile of substrate 420, and may be configured to measure the overlay, critical dimensions, and focal length of target 418 in a single measurement. The product stack profile contains information about the stacked product, such as alignment marks, targets 418, or substrate 420, and may include optical signature measurements caused by variations in the marking process as a function of variations in illumination. The product stack profile may also include product grating profile, mark stack profile, and mark asymmetry information. One example of a beam analyzer 430 is Yeldeldstar manufactured by ASML of Veldhaven, the NetherlandsTMAs described in U.S. patent No.8,706,442, which is incorporated herein by reference in its entirety. The beam analyzer 430 may also be configured to process information related to specific properties of the exposure pattern in the layer. For example, beam splittingThe parser 430 may process: overlay parameters (indicating the accuracy of the positioning of the layer relative to a previous layer on the substrate, or the accuracy of the positioning of the first layer relative to a mark on the substrate), focus parameters, and/or critical dimension parameters (e.g., line width and its variation) of the image depicted in the layer. The other parameter is an image parameter related to the quality of the image of the depicted exposure pattern.

In some embodiments, a detector array (not shown) may be connected to the beam analyzer 430 and allow for the possibility of accurate stack profile detection, as described below. For example, the detector 428 may be a detector array. For detector arrays, a number of options are possible: a multimode fiber optic bundle, a discrete pin detector per channel, or a CCD or CMOS (linear) array. For stability reasons, the use of multimode fiber bundles may allow any dissipative elements to be located at a remote location. Discrete PIN detectors can provide a larger dynamic range, but each requires a separate preamplifier. Therefore, the number of elements is limited. CCD linear arrays provide many elements that can be read at high speed and are of particular interest when phase stepping detection is used.

In one embodiment, the second beam analyzer 430' may be configured to receive and determine the optical state of the diffracted radiation sub-beam 429, as shown in fig. 4B. The optical state may be a measure of the beam wavelength, polarization or beam profile. The second beam analyzer 430' may be identical to the beam analyzer 430. Alternatively, the second beam analyzer 430' may be configured to perform at least all of the functions of the beam analyzer 430, such as determining the position of the stage 422 and associating the position of the stage 422 with the position of the center of symmetry of the alignment mark or target 418. In this way, with reference to alignment stage 422, the position of the center of symmetry of alignment mark or target 418, and thus the position of substrate 420, may be accurately known. The second beam analyzer 430 may also be configured to determine the position of the alignment device 400 or any other reference element so that the center of symmetry of the alignment mark or target 418 may be known with reference to the alignment device 400 or any other reference element. The second beam analyzer 430' may also be configured to determine overlay data between the two patterns and a model of the product stack profile of the substrate 420. The second beam analyzer 430' may also be configured to measure the overlap, critical dimension, and focal length of the target 418 in one measurement.

In one embodiment, according to other embodiments, the second beam analyzer 430' may be integrated directly into the alignment apparatus 400, or may be connected via several types of optical fibers: polarization preserving singlemode, multimode, or imaging. Alternatively, the second beam analyzer 430' and the beam analyzer 430 may be combined to form a single analyzer (not shown) configured to receive and determine the optical states of the diffracted radiation sub-beams 429 and 439.

In one embodiment, processor 432 receives information from detector 428 and beam analyzer 430. For example, processor 432 may be an overlap computation processor. This information may include a model of the product stack profile constructed by the beam analyzer 430. Alternatively, the processor 432 may use the received information about the product marking to build a model of the product marking profile. In either case, the processor 432 uses or incorporates the model of the product marking profile to build a model of the product of the stack and the overlay marking profile. The overlay model is then used to determine the overlay shift and minimize the spectral impact on the overlay shift measurement. Processor 432 may generate basic correction algorithms based on information received from detector 428 and beam analyzer 430, including but not limited to optical states of the illumination beam, alignment signals, associated position estimates, and optical states in pupils, images, and other planes. A pupil plane is a plane in which the radial position of radiation defines the angle of incidence, and the angular position defines the azimuth angle of the radiation. Processor 432 may utilize a basic correction algorithm to characterize alignment apparatus 400 with reference to wafer marks and/or alignment marks 418.

In one embodiment, processor 432 may also be configured to determine an estimated printed pattern position offset error relative to the sensor for each marker based on information received from detector 428 and beam analyzer 430. This information includes, but is not limited to, product stack profile, overlay, critical dimension, and focus measurements for each alignment mark or target 418 on substrate 420. Processor 432 may group the markers into sets of similar constant offset errors using a clustering algorithm and generate an alignment error offset correction table based on this information. The clustering algorithm may be based on the overlay measurements, the position estimates, and the additional optical stack process information associated with each set of offset errors. The overlap is calculated for a plurality of different marks, e.g., overlap targets having positive and negative deviations around the programmed overlap offset. The target that measures the smallest overlap is taken as a reference (since it measures with the best accuracy). From this measured small overlap and the known programmed overlap of its corresponding target, an overlap error can be derived. Table 1 shows how this is performed. In the example shown, the minimum measurement overlap is-1 nm. However, this is for the target with a programming overlap of-30 nm. Therefore, the process must introduce an overlay error of 29 nm.

The minimum may be used as a reference point against which the offset between the measured overlap and the overlap expected due to the programmed overlap may be calculated. The offset determines the overlay error for each mark or set of marks having similar offsets. Thus, in the example of Table 1, at a target location where the programmed overlap is 30nm, the minimum measurement overlap is-1 nm. The difference between the expected overlap and the measured overlap at the other targets is compared to the reference. A table such as table 1 may also be acquired from the markers and targets 418 at different illumination settings, and the illumination setting and its corresponding calibration factor that results in the smallest overlay error may be determined and selected. Thereafter, processor 432 may group the markers into sets of similar overlay errors. The criteria for marking packets may be adjusted based on different process controls, e.g., different error margins for different processes.

In one embodiment, processor 432 may confirm that all or most members of the group have similar offset errors and apply individual offset corrections from the clustering algorithm to each marker based on its additional optical stack measurements. Processor 432 may determine a correction for each mark and feed the correction back to lithographic apparatus 100 or 100' to correct errors in the overlay, for example, by feeding the corrections into alignment apparatus 400.

Exemplary alignment System Using form Birefringence

Even as lithography systems provide smaller and smaller patterned features at advanced technology nodes of integrated circuits, the lithography systems need to increase the accurate alignment between different levels of lithography in order to receive smaller and smaller alignment marks or targets. The alignment marks may typically be placed in streets, i.e., areas between chips or dies (product areas), which are then discarded when the dies are sawed prior to packaging. The smaller size also allows more alignment marks to be distributed at various locations for a given process monitoring area to improve alignment and/or overlay uniformity across the wafer. Alternatively, for dies with large areas, smaller alignment marks may be placed within the die to improve alignment across the product chip.

As previously described, a diffraction grating may be used as an alignment mark. And the operation of the alignment system may be based on the phase shift between two symmetric higher order diffractions (e.g., m +1 and m-1, m +2 and m-2) measured by the interferometer or image sensor.

Fig. 5A and 5B show respective top and cross-sectional views according to an embodiment. For example, fig. 5A and 5B illustrate top and cross-sectional views of a diffraction grating 518 formed on a substrate 520, the diffraction grating 518 may include a single pitch or period "Λ" and a grating line width "d". The efficiency of the high diffraction order may be determined by parameters of the diffraction grating 518, such as the profile of the grating structure (e.g., the rectangular shape in fig. 5B), the film stack thickness "t", the duty cycle "F", and the like. The duty cycle "F" may be defined as the ratio of the grating width D to the pitch Λ, i.e., F ═ D/Λ.

In one example, the spectral properties (e.g., diffraction angle) of the diffraction grating 518 may be described by a grating equation. For example, the diffraction angle θ of the mth order at normal incidence, i.e., normal incidence, having a wavelength λ or a wave vector k of 2 π/λmCan be prepared fromThe equation predicts:

Λsin(θm) As m λ (normal incidence) (1)

Diffraction efficiency can be predicted using rigorous vector wave modeling or various other methods to solve maxwell's equations that fully describe the electromagnetic field as the light propagates.

In one example, the diffraction efficiency is lower for first order diffraction and reduced for higher orders. For example, the first order may be as low as 0.1% of the input power. In one example, although the zeroth order diffraction consists of most of the optical power, the zeroth order diffraction may not carry alignment information in an interference-based system, and is blocked or filtered out as background noise. In one example, the need to fit or fit several grating periods into one small-sized target, or the desire of semiconductor foundries to align on the pitch representing the product-size features, requires the use of optical modules and objectives with high Numerical Apertures (NA), preferably at least 0.9 and more preferably at least 0.95. Immersion systems can provide NA's greater than 1, but also come with high cost, large size and complexity in managing the fluid.

In one example, the interference-based alignment scheme is also sensitive to process variation induced alignment mark asymmetries. Due to changes in the structure of the grating (e.g., sidewalls and top slopes), the phase change can be as large as the model predicted phase offset and produce an Alignment Position Error (APE). Details of Alignment position error correction can be found in U.S. patent application 62/662,976 entitled "Alignment Sensor Apparatus For Process Sensitivity Compensation," the entire contents of which are incorporated herein by reference.

Birefringence can be observed in a certain bulk material with anisotropic refractive index, depending on the polarization and the direction of propagation of the light. Materials with birefringence may be used for the waveplate, where two orthogonal polarization components of the light beam (e.g., transverse electric field (TE) polarization and transverse magnetic field (TM) polarization) experience a phase shift and the total polarization of the light beam may be changed at the exit.

In some embodiments, the diffraction grating 518 may have a pitch Λ that is smaller than the wavelength λ of the incident light (a so-called "sub-wavelength grating"), thereby functioning as an artificial anisotropic material with form-birefringent properties. When the grating pitch Λ is much smaller than the wavelength λ, due to the geometric anisotropy of the grating structure, two orthogonally polarized beams 542/544 (one parallel to the grating grooves (e.g., TE polarization 542) and the other perpendicular to the grating grooves (e.g., TM polarization 544)) encounter different effective indices of refraction and thus obtain a phase difference. In one example, the magnitude of the form birefringence effect (e.g., phase difference) depends on the grating structure (e.g., duty cycle F) and the angle of incidence.

Furthermore, when the grating pitch Λ is smaller than the wavelength λ, only the zero diffraction order is allowed to propagate over any substantial distance. The zero diffraction order from the sub-wavelength grating 518 can be measured at a normal angle perpendicular to the substrate 520. By measuring the phase difference between the two orthogonally polarized beams 542/544, a physical parameter (e.g., duty cycle F) of the sub-wavelength grating 518 can be extracted using rigorous coupled wave analysis (PCWA) modeling to solve Maxwell's equations, or other methods.

Fig. 6 illustrates a schematic cross-sectional view of an alignment system 650 according to an example embodiment. Alignment system 650 may be configured to measure the location of the alignment marks using the form birefringence properties of the alignment marks. Alignment system 650 can be built as part of lithographic apparatus 100 or 100', or can be built as a separate unit in lithographic cell or cluster 300 and work with other apparatus during operation.

In some embodiments, the alignment system 650 may include an illumination system 652, and first and second optical systems 660/662. The first optical system 660 includes an objective lens 654 and a beam splitter 664. The second optical system 662 includes objective lenses 656 and 658 and a beam analyzer 666. Alignment system 650 also includes first 668 and second 670 detectors and a processor 672.

In some embodiments, the illumination system 652 is configured to deliver the illumination beam 674 along an illumination path toward the beam splitter 664. The illumination system 652 is similar to the illumination system 412 described in fig. 4A and 4B.

The illumination system 652 can be configured to provide an electromagnetic narrowband illumination beam 674 having one or more passbands. In one example, the one or more passbands may be within the spectrum of wavelengths between about 500nm and about 900 nm. In one example, the one or more passbands may be within the spectrum of wavelengths between about 10nm and about 500 nm. In another example, the one or more passbands may be discrete narrow passbands within the spectrum of wavelengths between about 500nm and about 900 nm. In another example, the one or more passbands may be discrete narrow passbands within the spectrum of wavelengths between about 10nm and about 500 nm.

The illumination system 652 may also be configured to provide one or more pass bands having a substantially constant Center Wavelength (CWL) value over a long period of time (e.g., over the lifetime of the illumination system 652). As described above, in a current alignment system, this configuration of the illumination system 652 may help prevent the actual CWL value from shifting from the desired CWL value. Thus, using a constant CWL value may improve the long term stability and accuracy of the alignment system (e.g., alignment system 650) compared to current alignment equipment.

In some embodiments, the illumination system 652 may use a broadband light source for the radiation source. For example, light sources having a wide range of light frequencies or light wavelengths and thus having multiple colors. In another example, the radiation source may give a large etendue (i.e. the degree of expansion of the light, e.g. the product of the area (a) of the light source and the solid angle (Ω) subtended by the entrance pupil of the system as seen from the light source). This allows for the mixing of multiple wavelengths.

In some embodiments, the illumination beam 674 may include a plurality of wavelengths in a broadband. For example, with a bandwidth of Δ λ and a spacing of at least 2 Δ λ (i.e., twice the bandwidth).

In some embodiments, the illumination system 652 may include several "sources" of radiation for different portions of an extended radiation source that has been separated using a fiber optic bundle. In this way, angle-resolved scatter spectra can be measured in parallel at multiple wavelengths. For example, a three-dimensional spectrum (wavelength and two different angles) may be measured, which contains more information than a two-dimensional spectrum. This allows more information to be measured, thereby increasing the robustness of the metrology process. This is described in more detail in EP 1628164a2, the entire content of which is incorporated herein by reference.

In some embodiments, the illumination beam 674 may have a first polarization state, wherein the first polarization state comprises linear polarization, circular polarization, or elliptical polarization. Unpolarized illumination from the illumination system 652 can be changed to a polarized beam by using a polarizer, such as a dichroic polarizer, a crystal, or a wire grid polarizer. The two orthogonal polarization directions of the illumination beam 674 may be described as p-polarized (or H-polarized) and s-polarized (or V-polarized). For example, p-polarization has an electric field parallel to the plane of incidence and s-polarization has an electric field perpendicular to the plane of incidence.

In some embodiments, the illumination beam 674 may have a polarization state that can be adjusted using electromechanical or electro-optical components to determine a complete Mueller matrix or elliptical symmetric representation of the target.

In some embodiments, the first optical system 660 is configured to direct the illumination beam 674 having the first polarization state toward an alignment mark or target 678, and to direct the diffracted beam 676 having the second polarization state from the alignment target 678 to the second optical system 662.

In the example shown in fig. 6, the beam splitter 664 may be configured to receive the illumination beam 674 and direct the illumination beam 674 through the objective lens 654 toward an alignment target 678 of a substrate 680 positioned on the platform 682. The beam splitter 664 may also be configured to receive the diffracted beam 676 and direct the diffracted beam 676 toward the beam analyzer 666. In some embodiments, beam splitter 664 may be a spot mirror formed from a transmissive cube with a reflective metal layer disposed in the center of the cube.

It should be noted that even though the beam splitter 664 is shown to reflect the illumination beam 674 toward the alignment target 678 and to transmit the diffracted beam 676 toward the beam analyzer 666, the present disclosure is not limiting. It will be apparent to those skilled in the relevant art that other optical arrangements can be used to achieve similar results.

In some embodiments, the platform 682 may be movable along a scan direction 684 that is centered on an alignment axis 686. The illumination beam 674 may be directed onto a specific portion of the alignment target 678.

During the semiconductor manufacturing process, the wafer may be moved under the primary lithography lens. How accurately the wafer is positioned in the case of such an exposure lens directly determines the quality of the semiconductor product. Thus, alignment marks are placed on the wafer starting from the first lithography level to ensure accuracy of the wafer position.

In some embodiments, alignment target 678 may be a structure formed on substrate 680 by pattern transfer using a previous horizontal lithography mask (not shown in fig. 6). The materials and thin film stacks used to form alignment targets 678 may depend on the layout of alignment targets 678 on the previous level of the photolithographic mask and the process that substrate 680 undergoes. In some embodiments, alignment targets 678 may be made of or coated with a radiation-sensitive film (e.g., photoresist). The design requirements (e.g., shape and size) of alignment target 678 depend on the alignment system and alignment method used. In some embodiments, the alignment target 678 may be a one-dimensional grating with a typical duty cycle of about 50%. In some embodiments, the alignment targets 678 may be a two-dimensional array or a grating. In some embodiments, the alignment target 678 may be a sub-wavelength grating with a form birefringence phenomenon. In this disclosure, a sub-wavelength grating is designed to be aligned to target 678.

In some embodiments, the objective lens 654 focuses the illumination beam 674 on the alignment target 678 and collects the diffracted beam 676 reflected from the alignment target 678. Those skilled in the art will appreciate that other focusing optics may be used to provide similar functionality.

In some embodiments, the diffracted beam 676 from the sub-wavelength grating of the alignment target 678 includes a zero diffraction order. In this example, the alignment system 650 may be provided with a normal angle of incidence towards the alignment target 678. In the case of high intensity light from the zero diffraction order, the requirements for the Numerical Aperture (NA) of the objective lens 654 can be relaxed. A typical optical lens with 0.1 to 0.3 may be sufficient to collect enough light for subsequent optical analysis.

In some embodiments, the diffracted beam 676 includes the second polarization state that is different from the first polarization state of the illuminating beam 674. Due to the form-birefringent nature of the alignment target 678 with the sub-wavelength grating, the TE and TM polarization components 542, 544 of the illumination beam 674 experience a phase difference, resulting in a change of the overall polarization state in the diffracted beam 676. Although the diffracted beam 676 may also include p-polarization (or H-polarization) and s-polarization (or V-polarization), the intensities of the p-polarization and s-polarization may be different than the corresponding one of the polarizations of the illumination beam 674 due to the phase difference experienced at the alignment target 678. The magnitude of the phase difference experienced by the TE polarization 542 and the TM polarization 544 at the alignment target 678 may correspond to the relative intensity change of the p-polarization and the s-polarization in the diffracted beam 676 with respect to the illumination beam 674 at incidence.

In some embodiments, second optical system 662 is configured to split diffracted beam 676 into first and second sub-beams 690, 692. And the beam analyzer 666 is configured to analyze various properties of the diffracted beam 676, such as intensity, beam shape, or polarization. In some embodiments, beam analyzer 666 may be a polarizing beam splitter configured to separate diffracted beam 676 into a first sub-beam 690 propagating in the "x" direction and a second sub-beam 692 propagating in the "z" direction. Polarizing beam splitter 666 may be configured to reflect the s-polarized beam and transmit the p-polarized beam. In the exemplary configuration of fig. 6, the first sub-beam 690 may be s-polarized (or v-polarized) and the second sub-beam 692 p-polarized (or H-polarized).

In some embodiments, the beam analyzer 666 may have a polarization state that can be adjusted using electromechanical or electro-optical components to determine a complete Mueller matrix or elliptical symmetric representation of the target.

In some embodiments, objective lenses 656 and 658 may be configured to focus first and second beamlets 690, 692 onto first and second detectors 668, 670, respectively. The first and second detectors 668, 670 may be configured to measure the light intensity of the first and second sub-beams 690, 692.

In one example, the illumination beam 674 may be linearly p-polarized (also referred to as polarized in the "H" direction). In this example, the light intensity of the first sub-beam 690 having s-polarization measured at the first detector 668 may be labeled I (V, H). Similarly, the light intensity of the second sub-beam 692 having a p-polarization measured at the second detector 670 may be labeled I (H, H).

In one example, processor 672 receives the measured light intensity values from first detector 668 and second detector 670 and calculates y-projection polarization degree as a figure of merit to characterize the magnitude of form birefringence from alignment target 678. In one example, when the illumination beam 674 is p-polarized (or H-polarized), the y-projection polarization degree may be defined as yDOP, where

In equation (2), the y-projection degree of polarization, yDOP, is chosen as a normalized function of the range between-1 and +1 for I (V, H) and I (H, H).

When the y-projection polarization degree yDOP is-1, the alignment target exhibits no form birefringence effect and no phase shift between the TE polarization component 542 and the TM polarization component 544.

When the y-projection polarization degree yDOP is +1, the phase difference between the TE-polarized component 542 and the TM-polarized component 544 is 180 °, and the H-polarized illumination beam 674 can be completely changed to a diffracted beam having only the V-polarized first sub-beam 690.

In some embodiments, the y-projection degree of polarization, yDOP, may also be defined by some other formula or equation to track the relationship of I (V, H) and I (H, H), or in other words, the phase difference between the TE and TM polarization components 542, 544 induced by the form birefringence of the alignment target 678.

In some embodiments, the illumination beam 674 may be linearly polarized along the s-direction or "V-direction". Thus, the y-projection degree of polarization yDOP may be defined as

In some embodiments, the illumination beam 674 may also be circularly or elliptically polarized. In this example, the intensities of the first and second beamlets may be measured as a function of time, or as an average over a period of time.

The diffraction properties of the alignment target 678 can be modeled by numerical simulation methods, such as Rigorous Coupled Wave Analysis (RCWA). Using RCWA, yDOP can be calculated as a function of the physical parameters (e.g., duty cycle F) of the sub-wavelength grating of alignment target 678.

The measured yDOP from first and second beamlets 690, 692 may be compared to the calculated yDOP to determine a corresponding physical parameter (e.g., duty cycle F) of alignment target 678. When a location of the grating with corresponding physical parameters is known to be located on the alignment target 678, accurate alignment of the location may thus be achieved.

In some embodiments, numerical modeling is not required to map the y-projection polarization degree onto the grating coordinates, but rather, different features in the yDOP signal (such as thresholds or peaks) may be used as reference locations.

In fig. 6, alignment system 650 shows an example of a design using free-space optics. In some embodiments, first optical system 660 and second optical system 662 can be monolithically integrated onto a photonic circuit together and coupled to the alignment target 678 on substrate 680.

In some embodiments, the first detector 668 and the second detector 670 may also be integrally integrated into filters and beam shaping optics in an integrated photonic package in the alignment system 650. For example, on a silicon substrate, first optical system 660 and second optical system 662 may use optical elements of a silicon photonic device to achieve similar functions. Similarly, first detector 668 and second detector 670 may be germanium photodetectors integrated onto the silicon substrate. In some embodiments, the optical links between optical elements in alignment system 650 may also use fiber optics instead of propagating in free space, as shown in fig. 6.

Fig. 7A illustrates a top view of an exemplary alignment target, in accordance with an embodiment. For example, alignment targets 678 may be designed for implementation with alignment system 650 according to some embodiments.

Fig. 7B illustrates a cross-sectional view of an exemplary alignment target, in accordance with an embodiment. For example, a cross-section of alignment target 678 is shown along direction AA' in FIG. 7A. In this example, alignment target 678 includes multiple diffraction gratings having a single pitch "Λ" and two or more duty cycles F, where F is d/Λ. For a given pitch Λ, increasing the line width "d" of the grating increases the duty cycle F. As an example shown in fig. 7A, alignment target 678 includes a plurality of gratings with increasing duty cycles along direction x ', where direction x' forms an angle a with scan direction 684 of alignment system 650. The angle α may be any value between 0 ° and 90 °. For convenience of illustration, in the present disclosure, the angle α is set to 45 °. The size of the diffraction grating with the same duty cycle determines the resolution of the alignment and can be limited to be smaller than the spot size of the control beam 674.

In some embodiments, the incident light (e.g., illumination beam 674) may be linearly polarized (e.g., p-polarized) along the x-direction. The polarization direction can be decomposed into two orthogonal polarization components that are perpendicular or parallel to the direction of the grating lines. For example, fig. 7A illustrates the polarization directions of a p-polarized illumination beam 674 having two orthogonal polarization components of a TM polarization 544 and a TE polarization 542. When the pitch Λ of the grating 678 is less than the wavelength λ of the illumination beam, the TE and TM polarization components 542 and 544 experience different phase changes due to the form birefringence effect, resulting in a rotation of the overall polarization direction of the diffracted beam 676. The magnitude of the change in total polarization may be analyzed by measuring the light intensity of first sub-beam 690 and second sub-beam 692.

FIG. 8 illustrates a simulated y-projection degree of polarization, yDOP, as a function of duty cycle, F, of alignment target 678 shown in FIGS. 7A and 7B, according to some embodiments. The wavelength λ of the illumination beam 674 at incidence may be, for example, 630 nm. The plot lines correspond to a pitch Λ of 100nm, 200nm, or 300 nm. The relationship between yDOP and duty cycle along the arrow of each function may be monotonic, and the dependence of yDOP on duty cycle may be very sensitive.

Designing alignment targets 678 with duty cycles within corresponding ranges may provide sensitive alignment information. For example, the measured yDOP may be projected onto the plot line in fig. 8 and the corresponding duty cycle may be determined for a known spacing. The alignment position of alignment system 650 may be adjusted relative to alignment axis 421 according to the physical location of the corresponding duty cycle on alignment target 678.

FIG. 9 illustrates simulation results for another example of an alignment target 678, according to some embodiments. For example, the alignment target 678 may be designed with multiple sub-wavelength gratings having a periodicity Λ 200nm for the illumination beam 674 at a wavelength of 630 nm. In one example, the sub-wavelength grating has a single pitch and the duty cycle is increased from 35% to 65% and then decreased to 35%. In one example, the duty cycle of the alignment target is a function of the grating position (shown as a solid line in FIG. 9).

In one example, the RCWA method is used to simulate the diffraction properties of the alignment target 678. In this example, a fixed period and a varying duty cycle as described above are used. For tophat shaped illumination beams with spot diameters of 3 μm, and spot illumination with spot sizes much smaller than the grating width, the simulated y-projection polarization degree (yDOP) is depicted as dotted and dashed lines, respectively, in FIG. 9. Once ydo is measured from this alignment target in alignment system 650, the measured ydo may be projected in fig. 9 to extract the corresponding grating location on the alignment target. As more layers are printed on top of the marker layer, the alignment system may repeatedly measure the marker and determine the peak position as a stable reference.

In fig. 7-9, the shape of the sub-wavelength grating designed for alignment target 678 is rectangular. In some embodiments, the sub-wavelength grating may have an asymmetric shape with a top slope, a bottom slope, or a sidewall angle. RCWA simulations may also show that the shape asymmetry of the sub-wavelength grating does not affect the magnitude of the shape birefringence and thus the y-projection polarization degree. Thus, the use of an alignment scheme based on the form birefringence of the sub-wavelength grating may provide a reliable alignment method without relying on structural changes in the alignment target due to process variations experienced by the substrate 680. The structure of the sub-wavelength grating is not limited to the shape described above. In some embodiments, the sub-wavelength grating may have a sinusoidal shape or any other shape that may produce sufficient form birefringence effects.

Alignment system 650 can be built as part of lithographic apparatus 100 or 100'. The alignment system 650 may also be used with other alignment systems to provide calibration or failure analysis due to process induced asymmetries in the alignment targets.

Exemplary flow chart

Fig. 10 illustrates a flow diagram 1000 for determining a location of an alignment target according to an embodiment. It is understood that not all of the steps in fig. 10 may be required to implement the disclosure provided herein. In addition, some steps may be performed simultaneously or in a different order than shown in fig. 10. Flowchart 1000 should be described with respect to fig. 6. However, flowchart 1000 is not limited to these exemplary embodiments.

In step 1002, as shown in the example of fig. 6, an illumination beam 674 having a first polarization state propagates along an illumination path toward a beam splitter 664. The beam splitter 664 may direct the illumination beam 674 toward the objective lens 654, which objective lens 654 focuses the illumination beam 674 onto an alignment target 678 on the substrate 680. The diffracted beam 676 having the second polarization state may be reflected from the alignment target 678 and collected by the objective lens 654. Beam splitter 664 may transmit diffracted beam 676 to polarizing beam splitter 666.

In step 1004, a beam analyzer or polarizing beam splitter 666 splits diffracted beam 676 into orthogonal polarization components (i.e., first polarized sub-beam 690 and second polarized sub-beam 692). Polarizing beam splitter 666 reflects s-polarized sub-beam 690 in the "X" direction and transmits p-polarized sub-beam 692 in the "Z" direction.

In step 1006, the light intensities of the first polarized sub-beam 690 and the second polarized sub-beam 692 may be measured at a first detector 668 and a second detector 670, as shown by example in fig. 6. Measurements of the first polarized sub-beam 690 and the second polarized sub-beam 692 may be used to characterize the y-projection polarization degree.

In step 1008, the processor 672 may be used to compare the measured y-projection polarization degree with the simulated results from Rigorous Coupled Wave Analysis (RCWA) to determine the physical parameters of the alignment target 678. In some embodiments, the alignment target 678 comprises a sub-wavelength grating having a single pitch and duty cycle variation. In one example, the duty cycle may follow a linear function with respect to the grating position. Thus, from the measured y-projection polarization degree, the corresponding duty cycle can be extrapolated from the RCWA simulation and thus the location of the alignment target can be determined from the physical position of the grating with the corresponding duty cycle. In some embodiments, a simple curve fitting routine may be implemented without the need for electromagnetic simulation.

The embodiments may also be described using the following aspects:

1. a method, comprising:

directing the illumination beam having the first polarization state to form a diffracted beam from the alignment target having the second polarization state;

splitting the diffracted beam into a first polarized sub-beam and a second polarized sub-beam;

measuring the polarization states of the first and second polarized sub-beams; and

determining a location of the alignment target based on the measured polarization state.

2. The method of aspect 1, wherein:

the alignment target includes a plurality of diffraction grating periods having a single pitch and two or more duty cycles;

the spacing is less than the wavelength of the illumination beam; and is

The target-aligned location corresponds to a duty cycle of the diffraction grating.

3. The method of aspect 2, wherein the diffraction grating comprises a rectangular shape.

4. The method of aspect 2, wherein the diffraction grating comprises an asymmetric shape having a top slope, a bottom slope, or a side wall angle.

5. The method of aspect 2, wherein the diffraction grating comprises a sinusoidal shape.

6. The method of aspect 2, wherein:

the illumination beam is linearly polarized; and is

The electric field of the illumination beam forms an angle between 0 and 90 degrees with respect to the grating lines.

7. The method of aspect 1, wherein the illumination beam is circularly polarized or elliptically polarized.

8. A system, comprising:

a first optical system and a second optical system, wherein:

the first optical system is configured to direct an illumination beam having a first polarization state toward an alignment target and to direct a diffracted beam having a second polarization state from the alignment target to the second optical system; and is

The second optical system is configured to split the diffracted beam into a first polarized sub-beam and a second polarized sub-beam;

first and second detectors configured to measure polarization states from the first and second polarized sub-beams; and

a processor configured to determine a location of the alignment target from the measured polarization state.

9. The system of aspect 8, wherein the first and second optical systems together are monolithically integrated onto a photonic circuit and coupled to the alignment target on the substrate.

10. The system of aspect 9, wherein:

the substrate comprises silicon; and is

The first optical system and the second optical system include silicon photons.

11. The system of aspect 8, wherein the first and second detectors are integrally integrated to a filter and beam shaping optics located in an integrated photonic package.

12. The system of aspect 8, further comprising an optical link using fiber optics for the first and second optical systems.

13. The system of aspect 8, wherein the alignment target comprises a plurality of diffraction gratings having a single pitch that is less than a wavelength of the illumination beam and two or more duty cycles.

14. The system of aspect 13, wherein the diffraction grating comprises a rectangular shape.

15. The alignment system of aspect 13, wherein the diffraction grating comprises an asymmetric shape having a top slope, a bottom slope, or a side wall angle.

16. The alignment system of aspect 13, wherein the diffraction grating comprises a sinusoidal shape.

Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat panel displays, LCDs, thin-film magnetic heads, etc. Those skilled in the art will appreciate that, in the context of such alternative applications, any use of the terms "wafer" or "die" herein is considered as synonymous with the more general terms "substrate" or "target portion", respectively. The substrate referred to herein may be processed, before or after exposure, in for example a coating and development apparatus unit (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology unit, and/or an inspection unit. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.

Although specific reference may have been made above to the use of embodiments of the invention in the context of optical lithography, it will be appreciated that the invention may be used in other applications, for example imprint lithography, and where the context allows, is not limited to optical lithography. In imprint lithography, a topography in a patterning device defines the pattern created on a substrate. The topography of the patterning device may be pressed into a layer of resist provided to the substrate, the resist being cured by applying electromagnetic radiation, heat, pressure or a combination thereof. After the resist is cured, the patterning device is moved out of the resist, leaving a pattern therein.

It is to be understood that the phraseology or terminology herein is for the purpose of description and not of limitation, such that the terminology or phraseology of the present specification is to be interpreted by the skilled artisan in light of the teachings herein.

As used herein, the term "substrate" describes a material having a layer of material added thereto. In some embodiments, the substrate itself may be patterned, and the material added on the substrate may also be patterned, or may remain unpatterned.

Embodiments of the invention may be implemented in hardware, firmware, software, or any combination thereof. Embodiments of the invention may also be implemented as instructions stored on a machine-readable medium, which may be read and executed by one or more processors. A machine-readable medium may include any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computing device). For example, a machine-readable medium may include Read Only Memory (ROM); random Access Memory (RAM); a magnetic disk storage medium; an optical storage medium; a flash memory device; electrical, optical, acoustical or other form of propagated signals, and the like. Further, firmware, software, routines, and/or instructions may be described herein as performing certain actions. However, it should be appreciated that such descriptions are merely for convenience and that such actions in fact result from computing devices, processors, controllers, or other devices executing the firmware, software, routines, and/or instructions.

The following examples are illustrative of, but not limiting of, embodiments of the present disclosure. Other suitable modifications and adaptations of the various conditions and parameters normally encountered in the art and which are apparent to those skilled in the relevant art are within the spirit and scope of the present disclosure.

Although specific reference may be made in this text to the use of apparatus and/or systems according to the invention in the manufacture of integrated circuits, it should be explicitly understood that such apparatus and/or systems have many other possible applications. For example, it may be used to fabricate integrated optical systems, guidance and detection patterns for magnetic domain memories, LCD panels, thin film magnetic heads, and the like. Those skilled in the art will appreciate that, in the context of such alternative applications, any use of "stencil", "wafer" or "die" herein should be considered as being replaced by the more general terms "mask", "substrate" and "target portion", respectively.

While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. The description is not intended to limit the invention.

It should be understood that the detailed description section (and not the summary and abstract sections) is intended to be used to interpret the claims. The summary section and abstract section may set forth one or more, but not all exemplary embodiments of the invention as contemplated by the inventors, and are therefore not intended to limit the invention and the appended claims in any way.

The invention has been described above with the aid of functional building blocks illustrating the implementation of specific functions and relationships thereof. Boundaries of these functional building blocks have been arbitrarily defined herein for the convenience of the description. Other boundaries may be defined so long as the specified functions and relationships thereof are appropriately performed.

The foregoing description of the specific embodiments will so fully reveal the general nature of the invention that others can, by applying knowledge within the skill of the art, readily modify and/or adapt for various applications such specific embodiments, without undue experimentation, without departing from the general concept of the present invention. Therefore, such adaptations and modifications are intended to be within the meaning and range of equivalents of the disclosed embodiments, based on the teaching and guidance presented herein.

The breadth and scope of the present invention should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims appended hereto and their equivalents.

36页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:传送装置、定影装置以及图像形成装置

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!