High order harmonic generation radiation source

文档序号:835868 发布日期:2021-03-30 浏览:13次 中文

阅读说明:本技术 高阶谐波产生辐射源 (High order harmonic generation radiation source ) 是由 P·W·斯摩奥伦堡 G·J·H·布鲁斯阿德 D·欧德威尔 于 2019-07-10 设计创作,主要内容包括:本发明涉及方法和相应设备,其能够操作为引起驱动辐射束与介质之间的相互作用以通过高阶谐波产生来产生发射辐射,所述装置包括:相互作用区,所述相互作用区被定位在相互作用平面且被配置成接收所述介质;束阻挡件,所述束阻挡件在所述相互作用平面的上游被定位在束阻挡平面处且被配置成部分地阻挡所述驱动辐射束;束成形器,所述束成形器在所述束阻挡平面的上游被定位在物平面处且被配置成控制所述驱动辐射束的空间分布;以及至少一个透镜,所述至少一个透镜被定位在所述相互作用平面的上游和所述束阻挡平面的下游,其中所述透镜被定位成使得所述驱动辐射束的所述空间分布的图像形成在所述相互作用平面处。(The present invention relates to a method and a corresponding device operable to cause interaction between a drive radiation beam and a medium to generate emission radiation by higher order harmonic generation, the apparatus comprising: an interaction region positioned at an interaction plane and configured to receive the medium; a beam stop positioned at a beam stop plane upstream of the interaction plane and configured to partially block the drive radiation beam; a beam shaper positioned at an object plane upstream of the beam blocking plane and configured to control a spatial distribution of the drive radiation beam; and at least one lens positioned upstream of the interaction plane and downstream of the beam blocking plane, wherein the lens is positioned such that an image of the spatial distribution of the drive radiation beam is formed at the interaction plane.)

1. A radiation source device operable to cause interaction between a driving radiation beam and a medium to generate emitted radiation by higher order harmonic generation, the radiation source device comprising:

an interaction region positioned at an interaction plane and configured to receive the medium;

a beam stop positioned at a beam stop plane upstream of the interaction plane and configured to partially block the drive radiation beam;

a beam shaper positioned at an object plane upstream of the beam blocking plane and configured to control a spatial distribution of the drive radiation beam; and

at least one lens positioned upstream of the interaction plane and downstream of the beam stop plane, wherein the lens is positioned such that an image of the spatial distribution of the drive radiation beam is formed at the interaction plane.

2. The radiation source device according to claim 1, wherein the lens is positioned such that the object plane and the interaction plane are conjugate planes.

3. The radiation source device according to any preceding claim, wherein an aperture is positioned at an aperture plane downstream of the interaction plane and configured to allow at least a portion of the emission radiation to pass through and configured to block at least a portion of the drive radiation beam, wherein the aperture plane is positioned relative to the beam blocking plane and the lens such that an image of the beam blocking member is formed at the aperture plane.

4. The radiation source device of claim 3, wherein the lens is positioned such that the beam blocking plane and the aperture plane are conjugate planes.

5. The radiation source device according to claim 3 or 4, wherein the relationship between the size of the beam stop in the beam stop plane and the size of the drive radiation beam in the beam stop plane is such that the image of the beam stop is decoupled from the spatially distributed image of the drive radiation beam.

6. The radiation source device according to claim 5, wherein the size of the beam stop in the beam stop plane is 30% or less of the size of the drive radiation beam in the beam stop plane, and wherein optionally the beam stop and the drive radiation beam have a substantially circular cross-section in the beam stop plane, and wherein the size of the beam stop and the size of the drive radiation beam are diameters.

7. The radiation source device according to any one of the preceding claims, wherein the focal depth of the image of the beam stop does not overlap the interaction plane, and wherein optionally the center of the focal depth of the image of the beam stop substantially coincides with the aperture plane.

8. The radiation source device of claim 7 when dependent directly or indirectly on claim 4, wherein a circle of confusion associated with a depth of focus of the image of the beam stop is larger than an image of the drive radiation beam at the interaction plane, and wherein optionally the depth of focus of the image of the beam stop has a maximum circle of confusion with a diameter of 35 μ ι η or less.

9. The radiation source device according to any one of the preceding claims, wherein a focal depth of the spatially distributed image of the drive radiation beam does not overlap the aperture plane, and wherein optionally a center of the focal depth of the image of the intensity distribution of the drive radiation beam substantially coincides with the interaction plane.

10. The radiation source device according to any one of claims 7 to 9, wherein a depth of focus of an image of the beam stop and/or a depth of focus of an image of the spatial distribution of the driving radiation beam is determined by:

depth of focus 2cN (1+ m)

Where c is the maximum circle of confusion, N is the ratio of the focal length of the lens to the diameter of the drive radiation beam at the lens, and m is the magnification factor of the image of the beam stop at the aperture plane.

11. The radiation source device according to any one of the preceding claims, wherein the beam shaper comprises a spatial light modulator positioned at the object plane.

12. The radiation source device of claim 11, further comprising:

a sensor configured to detect a spatial profile of the drive radiation beam at the interaction plane; and

a feedback controller configured to feed back data relating to the detected spatial profile of the drive radiation beam to the spatial light modulator,

wherein the spatial light modulator is configured to control the spatial profile of the drive radiation beam based on the fed back data.

13. A metrology apparatus comprising a radiation source device according to any one of the preceding claims.

14. A lithographic cell comprising a radiation source apparatus according to any one of the preceding claims or a metrology apparatus according to claim 13.

15. A method of inducing interaction between a drive radiation beam and a medium for generating emission radiation by higher order harmonic generation, the method comprising:

blocking the drive radiation beam by a beam blocker such that at least a portion of the drive radiation beam is blocked;

propagating the drive radiation beam through at least one lens positioned downstream of the beam stop;

impinging the drive radiation beam on an interaction region comprising the medium and positioned downstream of the beam stop; and

focusing the drive radiation at an aperture positioned at an aperture plane downstream of the interaction region such that an image of the beam blocker is formed at the aperture plane, the aperture configured to allow at least a portion of the emitted radiation to pass through and configured to block at least a portion of the drive radiation beam.

Technical Field

The present invention relates to a method and apparatus for implementing a radiation source that uses higher order harmonic generation (HHG) to generate radiation. More particularly, the invention may relate to a method and apparatus for controlling the amount of driving radiation escaping a radiation source. The invention may also relate to methods and apparatus for inspection (e.g. metrology) applicable in the manufacture of devices, for example by lithographic techniques using/including such radiation sources.

Background

A lithographic apparatus is a machine configured to apply a desired pattern onto a substrate. Lithographic apparatus can be used, for example, in the manufacture of Integrated Circuits (ICs). A lithographic apparatus may, for example, project a pattern (also often referred to as a "design layout" or "design") at a patterning device (e.g., a mask) onto a layer of radiation-sensitive material (resist) disposed on a substrate (e.g., a wafer).

To project a pattern onto a substrate, a lithographic apparatus may use electromagnetic radiation. The wavelength of this radiation determines the minimum size of features that can be formed on the substrate. Typical wavelengths currently used are 365nm (i-line), 248nm, 193nm and 13.5 nm. A lithographic apparatus using Extreme Ultraviolet (EUV) radiation having a wavelength in the range of 4 to 20nm (e.g. 6.7nm or 13.5nm) may be used to form smaller features on a substrate than a lithographic apparatus using radiation having a wavelength of 193nm, for example.

Low k1Lithography can be used to process features having dimensions smaller than the classical resolution limit of the lithographic apparatus. In such a process, the resolution formula can be expressed as CD ═ k1X λ/NA, where λ is the wavelength of the radiation used, NA is the numerical aperture of the projection optics in the lithographic apparatus, CD is the "critical dimension" (usually the smallest feature size printed, but in this case half pitch) and k1Is an empirical resolution factor. In general, k1The smaller, the more difficult it is to reproduce on a substrate shapes and sizes similar to patterns planned by circuit designers to achieve particular electrical functionality and performance. To overcome these difficulties, complex trimming steps may be applied to the lithographic projection apparatus and/or the design layout. These steps include, for example, but are not limited to, optimization of NA, custom illumination schemes, use of phase-shifting patterning devices, various optimizations of the design layout, such as optical proximity correction (OPC, also sometimes referred to as "optical and process correction") in the design layout, or other methods commonly defined as "resolution enhancement techniques" (RET). Alternatively, a tight control loop for controlling the stability of the lithographic apparatus may be used to improve the low-k1Reproduction of the following pattern.

As explained below, an inspection apparatus, which may also be referred to as a metrology tool, may be used to determine properties of a substrate and features fabricated on the substrate, and in particular, how properties of different substrates or properties associated with different layers of the same substrate vary from layer to layer. Such an inspection apparatus may expose the substrate and associated features to radiation and capture scattered or diffracted radiation to form an image that allows determination of the properties of the substrate and/or features. The radiation may include soft x-ray (SXR) and/or EUV radiation.

Soft x-ray (SXR) and/or EUV radiation has a wavelength that extends generally from 0.1nm to 100 nm. Applications of SXR and/or EUV include, but are not limited to, existing or near future measurement tools for the semiconductor industry, for example, where visible light begins to give insufficient spatial resolution to the continuously shrinking feature sizes.

SXR and/or EUV radiation may be generated using HHG, in which intense laser pulses of, for example, visible or Infrared (IR) driving radiation interact with a gaseous medium, resulting in SXR and/or EUV emission of gas atoms due to their interaction with the driving radiation. The HHG-generated SXR and/or EUV light may then be focused onto a target on the wafer by means of an optical column that passes the light from the HHG source to the target. The reflected light can be detected and processed to infer properties of the target.

Disclosure of Invention

For the suitability of SXR and/or EUV metrology tools, it is desirable to focus the SXR and/or EUV beams into very small spots on a custom wafer. This is generally due to the fact that only a very small actual area on the wafer is available for printing metrology targets. For many exemplary use cases, the SXR and/or EUV spot diameter should be less than 5 μm. This is a significant challenge, requiring (among other things) a well-behaved and well-focusable SXR and/or EUV beam produced by the HHG source. The focusability of an SXR and/or EUV beam is determined by a variety of properties including beam divergence, intensity distribution and beam aberrations of the emitting SXR and/or EUV, or more generally, by the wavefront of the SXR and/or EUV beam.

However, the inventors have appreciated that the atomic HHG mechanism is such that the SXR and/or EUV wavefront is to a large extent determined by the intensity distribution of the drive radiation in the gaseous target. Accordingly, the present inventors have recognized that the control and optimization of the focusability of an SXR and/or EUV beam (which improves the ability to implement an SXR and/or EUV metrology tool) depends, at least in part, on the control of the intensity profile of the drive laser in the gas target.

Typically, in existing HHG sources, the drive radiation is focused to a spot in the target with a gaussian intensity distribution. Within the limitations of gaussian spots, some coarse control of the SXR and/or EUV wavefront is performed by optimizing the drive laser focal spot size and/or target position relative to the focal spot. However, the inventors have appreciated that more detailed control of the SXR and/or EUV wavefront may be achieved if not limited to a gaussian distribution but rather a tailored intensity distribution of the drive radiation can be adapted. For example, different intensity distributions may yield better SXR and/or EUV wavefront properties. There do exist techniques for manipulating the focal spot distribution of a laser. In particular, the laser beam may be steered by a deformable mirror and a Spatial Light Modulator (SLM) upstream of a lens that focuses the beam to a spot.

Furthermore, in HHG radiation sources, the SXR and/or EUV beam (emitting radiation beam) is emitted in the same direction as the drive laser beam. The drive radiation needs to be spaced apart from the SXR and/or EUV beam to prevent it from interfering with the measurement results. In addition, it is desirable to block the relatively high power drive radiation in some manner to prevent it from entering the sensitive optical column or being transmitted to the sensitive custom wafer.

Typically, in existing HHG sources, the blocking of the drive laser is done by means of a thin metal foil that is partially transmissive to SXR and/or EUV. However, this approach is not suitable for high power HHG sources because such filters cannot withstand high driving radiation power. An alternative approach has been proposed (Peatross et al, opt. lett.19, 942(1994)) in which the central part of the drive laser beam is blocked by a beam stop. The resulting annular beam still generates a substantially gaussian central spot at the focus in the target and therefore does not significantly affect the production of SXR and/or EUV, but will evolve again into an annular beam downstream of the target. The drive laser may then be blocked by an aperture configured to allow the emitted SXR and/or EUV beam to pass through, whereas the annular drive radiation beam is blocked. This is explained later with reference to fig. 5 b.

The inventors have appreciated that an improved solution to one or more of the problems specified herein or otherwise known by the skilled person is desirable. In some arrangements, the methods and apparatus may seek to solve or mitigate both of the above problems simultaneously. In an exemplary apparatus, problems associated with separation of the drive and emitted radiation (SXR and/or EUV beams) may be solved or alleviated by applying beam steering techniques (e.g., using a beam shaper such as an SLM) to control the intensity distribution of the drive radiation beam. The problems associated with blocking the drive radiation at the output of the radiation source may be solved or alleviated by blocking the central portion on the laser beam.

However, the inventors have realized that the solutions of both of the above problems may generally interfere with each other. That is, the laser beam distribution produced upstream by the SLM will be improved, for example, by beam barriers arranged to form a ring-shaped drive radiation beam, resulting in an intensity distribution at the target (rather than desired). Instead, the annular beam properties that should be produced by the beam stop will be affected by beam manipulation of the SLM, resulting in leakage of the driving radiation via the downstream aperture. Exemplary optical settings are presented herein that circumvent this problem by exploiting the imaging properties of the lens system.

According to the present invention, in an aspect, there is provided a radiation source device operable to cause interaction between a drive radiation beam and a medium to generate emission radiation by higher order harmonic generation, the device comprising: an interaction region positioned at an interaction plane and configured to receive the medium; a beam stop positioned at a beam stop plane upstream of the interaction plane and configured to partially block the drive radiation beam; a beam shaper positioned at an object plane upstream of the beam blocking plane and configured to control a spatial distribution of the drive radiation beam; at least one lens positioned upstream of the interaction plane and downstream of the beam stop plane, wherein the lens is positioned such that an image of the spatial distribution of the drive radiation beam is formed at the interaction plane.

Optionally, the lens is positioned such that the object plane and the interaction plane are conjugate planes.

Optionally, the apparatus further comprises an aperture positioned at an aperture plane downstream of the interaction plane and configured to allow at least a portion of the emitted radiation to pass through and configured to block at least a portion of the drive radiation beam.

Optionally, the aperture plane is positioned relative to the beam stop plane and the lens such that an image of the beam stop is formed at the aperture plane.

Optionally, the lens is positioned such that the beam blocking plane and the aperture plane are conjugate planes.

Optionally, the size of the beam stop in the beam stop plane relative to the size of the driving radiation beam in the beam stop plane is such that an image of the beam stop is decoupled from an image of the spatial distribution of the driving radiation beam.

Optionally, the size of the beam stop in the beam stop plane is 30% or less of the size of the drive radiation beam in the beam stop plane.

Optionally, the beam stop and the drive radiation beam have a substantially circular cross-section in the beam stop plane, and wherein the size of the beam stop and the size of the drive radiation beam are diameters.

Optionally, the focal depth of the image of the beam stop does not overlap the interaction plane.

Optionally, a center of the depth of focus of the image of the beam stop substantially coincides with the aperture plane.

Optionally, a circle of confusion associated with the depth of focus of the image of the beam stop is larger than the image of the drive radiation beam of the interaction plane.

Optionally, the depth of focus of the image of the beam stop has a maximum circle of confusion with a diameter of 35 μm or less.

Optionally, a depth of focus of the spatially distributed image of the drive radiation beam does not overlap with the aperture plane.

Optionally, a center of the depth of focus of the image of the intensity distribution of the drive radiation beam substantially coincides with the interaction plane.

Optionally, the depth of focus of the image of the beam stop and/or the depth of focus of the spatially distributed image of the driving radiation beam is determined by:

depth of focus 2cN (1+ m)

Where c is the maximum circle of confusion, N is the ratio of the focal length of the lens to the diameter of the drive radiation beam at the lens, and m is the magnification factor of the image of the beam stop at the aperture plane.

Optionally, the beam shaper comprises a spatial light modulator positioned at the object plane.

Optionally, the apparatus further comprises a sensor configured to detect a spatial profile of the drive radiation beam at the interaction plane; and a feedback controller configured to feed back data relating to the detected spatial profile of the drive radiation beam to the spatial light modulator, wherein the spatial light modulator is configured to control the spatial profile of the drive radiation beam based on the fed back data.

According to the present invention, in an aspect, there is provided a metrology apparatus comprising an apparatus according to any of the above discussed or herein disclosed.

According to the present invention, in an aspect, there is provided a lithographic cell comprising an apparatus according to the above discussed or described elsewhere herein, or a metrology apparatus as mentioned above or described elsewhere herein.

According to the present invention, in an aspect, there is provided a method of inducing an interaction between a drive radiation beam and a medium for generating emission radiation by higher order harmonic generation, the method comprising: blocking the drive radiation beam by a beam blocker such that at least a portion of the drive radiation beam is blocked; propagating the drive radiation beam through at least one lens, the lens being positioned downstream of the beam stop; impinging the drive radiation beam on an interaction region comprising a medium and positioned downstream of the beam stop; and focusing the drive radiation at an aperture, the aperture being positioned at an aperture plane downstream of the interaction region such that an image of the beam stop is formed at the aperture plane, the aperture being configured to allow at least a portion of the emitted radiation to pass through and configured to block at least a portion of the drive radiation beam.

Drawings

Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings in which:

FIG. 1 depicts a schematic overview of a lithographic apparatus;

FIG. 2 depicts a schematic overview of a lithography unit;

fig. 3 depicts a schematic representation of global lithography, which represents the cooperation between three key technologies for optimizing semiconductor manufacturing;

figure 4 depicts a schematic representation of a metrology apparatus;

figure 5a depicts a schematic representation of a HHG radiation source;

FIG. 5b depicts a schematic representation of an apparatus for use with a HHG radiation source (including beam stops);

figure 6a depicts a schematic representation of an apparatus for use with a HHG radiation source;

fig. 6b depicts a schematic representation of an apparatus for use with a HHG radiation source identifying an exemplary distance between the beam blocking plane, the lens and the aperture plane;

fig. 6c depicts a schematic representation of an apparatus for use with a HHG radiation source identifying an exemplary distance between the object plane, the lens and the interaction plane;

fig. 7 depicts a device for use with a HHG radiation source and illustrates a schematic representation of the depth of the beam stop image;

fig. 8 depicts a schematic representation of an apparatus for use with a HHG radiation source comprising a beam shaper;

fig. 9 depicts a schematic representation of an apparatus for use with a HHG radiation source comprising two lenses; and

fig. 10 shows a flow chart of a method for inducing an interaction between a drive radiation beam and a medium for generating emission radiation by higher order harmonic generation.

Detailed Description

In this document, the terms "radiation" and "beam" are used to encompass all types of electromagnetic radiation, including ultraviolet radiation (e.g. having a wavelength of 365nm, 248nm, 193nm, 157nm or 126 nm) and extreme ultraviolet radiation (EUV, e.g. having a wavelength in the range of about 5nm to 100 nm).

The terms "reticle", "mask" or "patterning device" as used herein may be broadly interpreted as referring to a generic patterning device that can be used to impart an incident radiation beam with a patterned cross-section that corresponds to a pattern to be created in a target portion of the substrate. The term "light valve" may also be used in the context of such content. Examples of other such patterning devices, in addition to classical masks (transmissive or reflective; binary, phase-shifting, hybrid, etc.), include programmable mirror arrays and programmable LCD arrays.

FIG. 1 schematically depicts a lithographic apparatus LA. The lithographic apparatus LA comprises: an illumination system (also referred to as an illuminator) IL configured to condition a radiation beam B (e.g. UV radiation, DUV radiation or EUV radiation); a mask support (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask) MA and connected to a first positioner PM configured to accurately position the patterning device MA in accordance with certain parameters; a substrate support (e.g. a wafer table) WT constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate support in accordance with certain parameters; and a projection system (e.g. a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.

In operation, the illumination system IL receives a radiation beam from a radiation source SO, for example, via a beam delivery system BD. The illumination system IL may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic and/or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation. The illuminator IL may be used to condition the radiation beam B to have a desired spatial and angular intensity distribution in its cross-section at the plane of the patterning device MA.

The term "projection system" PS as used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, anamorphic, magnetic, electromagnetic and/or electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, and/or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term "projection lens" herein may be considered as synonymous with the more general term "projection system" PS.

The lithographic apparatus LA may be of the following type: wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g. water, so as to fill a space between the projection system PS and the substrate W, also referred to as immersion lithography. More information on the dip-type technique is given in US6952253, which is incorporated herein by reference.

The lithographic apparatus LA may also be of a type having two or more substrate supports WT (also known as "dual stage"). In such "multi-stage" machines the substrate supports WT may be used in parallel, and/or subsequent exposure steps to prepare a substrate W may be performed on a substrate W positioned on one of the substrate supports WT while another substrate W on the other substrate support WT is used to expose a pattern on that substrate W.

In addition to the substrate support WT, the lithographic apparatus LA may also include a measurement platform. The measuring platform is arranged to hold a sensor and/or a cleaning device. The sensor may be arranged to measure a property of the projection system PS or a property of the radiation beam B. The measurement platform may hold a plurality of sensors. The cleaning device may be arranged to clean a part of the lithographic apparatus, for example a part of the projection system PS or a part of the system that is provided with the immersion liquid. The measurement platform may be moved under the projection system PS while the substrate support WT is away from the projection system PS.

In operation, the radiation beam B is incident on the patterning device MA (e.g., mask) held on the mask support MT and is patterned by the pattern (design layout) presented on the patterning device MA. Having traversed the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position measurement system IF, the substrate support WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B at positions which are in focus and in alignment. Similarly, the first positioner PM and possibly another position sensor (which is not explicitly depicted in fig. 1) can be used to accurately position the patterning device MA with respect to the path of the radiation beam B. Patterning device MA and substrate W may be aligned using mask alignment marks M1, M2 and substrate alignment marks P1, P2. Although substrate alignment marks P1, P2 as illustrated occupy dedicated target portions, they may be located in spaces between target portions. When substrate alignment marks P1, P2 are located between target portions C, these substrate alignment marks are referred to as scribe-lane alignment marks.

As shown in fig. 2, the lithographic apparatus LA may form part of a lithographic cell LC (sometimes also referred to as a lithographic cell or (lithographic) cluster), which often also includes an apparatus to perform pre-exposure and post-exposure processes on a substrate W. Conventionally, these apparatuses include a spin coater SC to deposit a resist layer, a developer DE to develop an exposed resist, a chill plate CH, for example, to adjust the temperature of the substrate W (e.g., to adjust the solvent in the resist layer), and a bake plate BK. The substrate transporter or robot RO picks up substrates W from the input/output terminal ports I/O1, I/O2, moves them between different process tools and transfers the substrates W to the feed table LB of the lithographic apparatus LA. The devices in the lithography unit, which are also commonly referred to as coating and development systems, are typically under the control of a coating and development system control unit TCU, which may itself be controlled by a supervisory control system SCS, which may also control the lithographic apparatus LA, e.g. via a lithographic control unit LACU.

In order for a substrate W exposed by the lithographic apparatus LA to be correctly and consistently exposed, it is desirable to inspect the substrate to measure properties of the patterned structure, such as overlay error between subsequent layers, line thickness, Critical Dimension (CD), etc. For this purpose, an inspection tool (not shown) may be included in the lithography unit LC. If an error is detected, adjustments may be made, for example, to the exposure of subsequent substrates or other processing steps to be performed on the substrates W, especially if inspection is completed before other substrates W of the same lot or batch are still to be exposed or processed.

The inspection apparatus (which may also be referred to as a metrology apparatus) may be used to determine properties of the substrate W, and in particular how the properties of different substrates W vary or how properties associated with different layers of the same substrate W vary from layer to layer. The inspection apparatus may alternatively be configured to identify defects on the substrate W, and may for example be part of the lithographic cell LC, or may be integrated into the lithographic apparatus LA, or may even be a separate device. The inspection apparatus may measure properties on a latent image (image in the resist layer after exposure), or on a semi-latent image (image in the resist layer after the post-exposure bake step PEB), or on a developed resist image (in which exposed or unexposed portions of the resist have been removed), or even on an etched image (after a pattern transfer step such as etching).

Generally, the patterning process in the lithographic apparatus LA is one of the most critical steps in the process, which requires a high accuracy of the dimensioning and placement of the structures on the substrate W. To ensure such high accuracy, the three systems may be combined in a so-called "global" control environment, as schematically depicted in fig. 3. One of these systems is a lithographic apparatus LA (virtually) connected to a metrology tool MT (second system) and to a computer system CL (third system). The key to this "global" environment is to optimize the cooperation between these three systems to enhance the overall process window and to provide a tight control loop to ensure that the patterning performed by the lithographic apparatus LA remains within the process window. The process window defines a range of process parameters (e.g. dose, focus, overlap) within which a particular manufacturing process produces a defined result (e.g. functional semiconductor devices) -typically allowing process parameters in a lithographic process or a patterning process to vary within the range.

The computer system CL may use (parts of) the design layout to be patterned to predict which resolution enhancement technique to use and perform computational lithography simulations and calculations to determine which mask layout and lithographic apparatus set the maximum overall process window (depicted in fig. 3 by the double arrow in the first scale SC 1) that enables the patterning process. Typically, resolution enhancement techniques are arranged to match the patterning possibilities of the lithographic apparatus LA. The computer system CL may also be used to detect where the lithographic apparatus LA is currently operating within the process window (e.g. using input from the metrology tool MT) to predict whether a defect may be present due to, for example, sub-optimal processing (depicted in fig. 3 by the arrow pointing to "0" in the second scale SC 2).

The metrology tool MT may provide input to the computer system CL for accurate simulation and prediction, and may provide feedback to the lithographic apparatus LA to identify, for example, possible drifts in the calibration state of the lithographic apparatus LA (depicted in fig. 3 by the plurality of arrows in the third scale SC 3).

As an alternative to optical measurement methods, the use of soft X-ray or EUV radiation is also contemplated, for example radiation in the wavelength range between 0.1nm and 100nm, or alternatively between 1nm and 50nm, or alternatively between 10nm and 20 nm. One example of a metrology tool operating in one of the wavelength ranges presented above is transmissive small angle X-ray scattering (as T-SAXS in US 2007224518A, the contents of which are incorporated herein by reference in their entirety). Lemaillet et al, in "Intercom beta optical and X-ray diffraction measurements of FinFET structures" (Proc. of SPIE, 2013, 8681), discusses profile (CD) measurements using T-SAXS. Reflectometry techniques using X-ray (GI-XRS) and Extreme Ultraviolet (EUV) radiation at grazing incidence are known for measuring properties of films and layer stacks on substrates. In the general field of reflectometry, goniometry and/or spectroscopy techniques may be applied. In goniometry, the variation of the reflected beam at different angles of incidence is measured. On the other hand, spectral reflectometry measures the spectrum of wavelengths reflected at a given angle (using broadband radiation). For example, EUV reflectometry has been used for inspection of mask substrates prior to the manufacture of reticles (patterning devices) for use in EUV lithography.

The range of applications may be such that the use of wavelengths in the soft X-ray or EUV domain is insufficient. Thus, published patent applications US 20130304424a1 and US2014019097a1(Bakeman et al/KLA) describe hybrid metrology techniques in which measurements made using x-rays and optical measurements using wavelengths in the range of 120nm and 2000nm are combined to obtain measurements of parameters such as CD. CD measurements may be obtained by using an x-ray mathematical model and/or an optical mathematical model. The contents of the listed U.S. patent applications are incorporated herein by reference in their entirety.

FIG. 4 depicts a schematic representation of a metrology apparatus 302 in which radiation having wavelengths in the range of 0.1nm to 100nm may be used to measure parameters of a structure on a substrate. The metrology apparatus 302 presented in FIG. 4 is suitable for use in the soft X-ray or EUV domain.

Figure 4 illustrates, by way of example only, a schematic physical arrangement of a metrology apparatus 302 including a spectral scatterometer using EUV and/or SXR radiation at grazing incidence. An alternative form of inspection apparatus may be provided in the form of an angle-resolved scatterometer that uses normal or near-normal incident radiation similar to conventional scatterometers operating at longer wavelengths.

The inspection apparatus 302 includes a radiation source 310, an illumination system 312, a substrate support 316, detection systems 318, 398, and a Metrology Processing Unit (MPU) 320.

In this example, source 310 includes a generator of EUV or soft x-ray radiation based on a higher-order harmonic generation (HHG) technique. The main components of the radiation source are a drive laser 330 (which is used to generate the drive radiation) and a HHG gas cell 332. The gas supply 334 supplies a suitable gas target (or medium) to the gas chamber where it is optionally ionized by the power source 336. The drive laser 300 may be, for example, a fiber-based laser with an optical amplifier that produces pulses of infrared radiation that may last, for example, less than 1 nanosecond (1ns) per pulse, with pulse repetition rates as high as several megahertz as desired. The wavelength of the infrared radiation may be, for example, about 1 micrometer (1 μm). The laser pulses are delivered to HHG plenum 332 as a first beam of radiation 340, wherein in the gas a portion of the radiation is converted to a beam 342 of coherent second (or emitted) radiation of higher frequency than the first radiation to include the desired wavelength or wavelengths.

The second radiation may comprise a plurality of wavelengths. Measurement calculations (e.g. reconstruction) can be simplified if the radiation is monochromatic, but it is easier to generate radiation with several wavelengths with HHG. The volume of gas within plenum 332 defines a HHG space, but the space need not be completely sealed and a gas flow may be used instead of a static volume. For example, the gas may be an inert gas such as neon (Ne) or argon (Ar). N2, O2, He, Ar, Kr, Xe gases are all contemplated. These are matters of design choice and may even be selectable options within the same device. Different wavelengths will provide different levels of contrast, for example when imaging structures of different materials. For example, to inspect metal or silicon structures, different wavelengths may be selected as the wavelength for imaging features of the (carbon-based) resist or for detecting contamination of these different materials. One or more filtering devices 344 may be provided. For example, a filter such as an aluminum (Al) film may be used to cut off the fundamental IR radiation from further passing into the inspection apparatus. A grating (not shown) may be provided to select one or more specific harmonic wavelengths from among the wavelengths generated in the gas cell. Some or all of the beam path may be contained within a vacuum environment, bearing in mind that SXR and/or EUV radiation may be absorbed as it travels in air. The various components of the radiation source 310 and the illumination optics 312 may be adjustable to implement different metrology "recipes" within the same apparatus. For example, different wavelengths and/or polarizations may be made selectable.

Depending on the material of the structure under examination, different wavelengths may provide the desired level of penetration into the underlying layers. Short wavelengths are likely to be preferred in order to resolve defects in the smallest device features and the smallest device features. For example, one or more wavelengths in the range of 1 to 20nm, or alternatively in the range of 1 to 10nm, or alternatively in the range of 10 to 20nm may be selected. Wavelengths shorter than 5nm suffer from very low critical angles when reflected from materials of general interest in semiconductor fabrication. Therefore, selecting wavelengths greater than 5nm will provide a stronger signal at higher angles of incidence. On the other hand, if the inspection task is for detecting the presence of a certain material, for example to detect contamination, wavelengths up to 50nm may be useful.

The filtered beam 342 passes from the radiation source 310 into the inspection chamber 350, where a substrate W including a structure of interest is held by the substrate support 316 for inspection at a measurement location. The structure of interest is labeled T. The atmosphere within the inspection chamber 350 is maintained near vacuum by a vacuum pump 352 so that EUV radiation can pass through the atmosphere without excessive attenuation. The illumination system 312 has the function of focusing radiation into the focused beam 356, and may comprise, for example, a two-dimensional curved mirror or a series of one-dimensional curved mirrors, as described in the above-mentioned published U.S. patent application US2017/0184981a1 (the contents of which are incorporated herein by reference in their entirety). The focusing is performed to achieve a circular or elliptical spot S with a diameter below 10 μm when projected onto the structure of interest. The substrate support 316 comprises, for example, an X-Y translation stage and a rotation stage by which any portion of the substrate W can be brought to the focus of the beam in a desired direction. Thus, a spot S of radiation is formed on the structure of interest. Alternatively or additionally, the substrate support 316 comprises, for example, a tilting stage that can tilt the substrate W at an angle to control the angle of incidence of the focused beam on the structure of interest T.

Optionally, the illumination system 312 provides a reference beam of radiation to the reference detector 314, which may be configured to measure the spectra and/or intensities of different wavelengths in the filtered beam 342. The reference detector 314 may be configured to generate a signal 315 that is provided to the processor 310, and the filter may include information about the spectrum of the filtered beam 342 and/or the intensity of different wavelengths in the filtered beam.

The reflected radiation 360 is captured by the detector 318 and the spectrum is provided to the processor 320 for use in calculating properties of the target structure T. The illumination system 312 and the detection system 318 thus form an inspection apparatus. Such an inspection apparatus may comprise a soft X-ray and/or EUV spectroscopic reflectometer of the kind described in US2016282282a1, the contents of which are incorporated herein by reference in their entirety.

The radiation of the focused beam 356 may also be partially diffracted if the target T has a certain periodicity. Diffracted radiation 397 follows another path at a well-defined angle relative to the angle of incidence and then relative to reflected radiation 360. In fig. 4, the extracted diffracted radiation 397 is extracted in a schematic manner, and the diffracted radiation 397 may follow many other paths in addition to the extraction path. Inspection apparatus 302 may also include an additional detection system 398 that detects and/or images at least a portion of the diffracted radiation 397. In fig. 4, a single further detection system 398 is depicted, but embodiments of the inspection apparatus 302 may also include more than one further detection system 398 arranged at different locations to detect and/or image diffracted radiation 397 in multiple diffraction directions. In other words, the (higher) diffraction order of the focused radiation beam incident on the target T is detected and/or imaged by the one or more further detection systems 398. The one or more detection systems 398 generate signals 399 that are provided to the metrology processor 320. Signal 399 may include information on diffracted light 397 and/or may include an image derived from diffracted light 397.

To assist in the alignment and focusing of the spot S with the desired product structure, the inspection apparatus 302 may also provide secondary optics that use secondary radiation under the control of the metrology processor 320. Metrology processor 320 may also communicate with position controller 372, which operates the translation stage, rotation stage, and/or tilt stage. The processor 320 receives highly accurate feedback of the position and orientation of the substrate via the sensors. The sensor 374 may include, for example, an interferometer, which may give an accuracy of about picometers. In operation of the inspection apparatus 302, spectral data 382 captured by the detection system 318 is communicated to the metrology processing unit 320.

As mentioned, an alternative form of inspection apparatus uses soft X-ray and/or EUV radiation at normal or near normal incidence, for example to perform diffraction-based measurements of asymmetry. Both types of inspection equipment may be provided in a hybrid metrology system. The performance parameters to be measured may include Overlay (OVL), Critical Dimension (CD), Coherent Diffraction Imaging (CDI), and under-resolution overlay (ARO) measurements. The soft X-ray and/or EUV radiation may for example have a wavelength of less than 100nm, for example using radiation in the range of 5 to 30nm, optionally in the range of 10 to 20 nm. The radiation may be narrow band or broadband in nature. The radiation may have discrete peaks in a particular wavelength band or may have a more continuous characteristic.

As with optical scatterometers used in today's manufacturing facilities, inspection apparatus 302 may be used to measure structures within resist materials processed within a lithography unit (after development inspection or ADI), and/or to measure structures after they have been formed in harder materials (after etch inspection or AEI). For example, the inspection apparatus 302 may be used to inspect a substrate after the substrate has been processed by a developing apparatus, an etching apparatus, an annealing apparatus, and/or other apparatus.

Fig. 5a shows a schematic illustration of a radiation source 500 for generating emitted radiation by HHG. The source 500 comprises a vacuum vessel 502 and a vacuum optical system 504 comprising the above mentioned optical column directing the emitted radiation beam onto the substrate. Vacuum vessel 502 includes an interaction region 506 for receiving a medium 508 that serves as a target (e.g., a gas target). The vacuum vessel 502 includes a viewport 510 or another entrance to the vacuum vessel 502 through which drive radiation 512 enters the vacuum vessel 502. At interaction region 506, drive radiation 512 interacts with medium 508 to produce emission radiation 514 through the HHG. It can be seen in fig. 5 that the drive radiation 512 continues to propagate outside the interaction region 506 in the emission direction of the emission radiation 514. For the reasons described above, the blocking filter 516 may be used to block at least a portion of the drive radiation 512 and allow at least a portion of the emitted radiation 514 to pass through. Typically such filters may attenuate the drive radiation by many orders of magnitude (e.g. only 10-11 of the incident drive radiation may pass through the filter), and typically tens of percent (e.g. 20%) of the HHG radiation may pass through.

Fig. 5b shows an exemplary arrangement for use with the source 500 and for blocking the drive radiation 512 from being emitted from the source 500. The features of fig. 5b may be combined with the features of fig. 5a as appropriate. The device of fig. 5b comprises a beam stop 518 positioned upstream (i.e. closer to the driving radiation source) of the interaction region 506. The beam blocker 518 blocks at least part of the drive radiation and produces an annular drive radiation beam in the arrangement of fig. 5 b. The term "annular" is meant herein to encompass any shape of the bundle having a blocked interior region. The beam stop may be a solid stop placed in the propagation path of the drive radiation 512 or may be provided by other means such as a perforated mirror or a pair of axicons.

A lens 520 is positioned downstream of the beam stop 518 and upstream of the interaction region 506 and is arranged to focus the drive radiation 512 at the interaction region 506. With this arrangement, a roughly gaussian intensity distribution of the drive radiation 512 is visible at the interaction region 506, after which the drive radiation 512 continues to propagate as an annular beam downstream of the interaction region 506. The blocking filter 516 includes an aperture 522. The aperture 522 is sized to allow the substantial amount of the drive radiation 512 to be blocked and the substantial amount of the emission radiation 514 to pass through. In general, the aperture may be selected such that at least 99% or 99.9% of the driving radiation is blocked, so that the remaining portion has a sufficiently low intensity without damaging any further filters that may be placed downstream. In addition, it is desirable to limit the loss of HHG radiation by the aperture, and therefore the aperture can be selected to allow at least a few tens of percent (e.g., 20%) to pass through.

Figure 6a shows a schematic illustration of a device for use in a radiation source. The features of fig. 6a may be combined with the features of fig. 5a as appropriate. The apparatus of fig. 6a includes an interaction zone 606 positioned at an interaction plane and configured to receive a medium (e.g., a gas target) for generating emission radiation by the HHG. The apparatus also includes a beam stop 618 positioned at the beam stop plane upstream of the interaction region 606 and positioned to block at least a portion of the drive radiation beam (not shown in fig. 6 a), and in certain apparatus, a central portion of the drive radiation beam. The apparatus also includes a lens 620, the lens 620 being positioned upstream of the interaction region 606 and downstream of the beam stop 618. The device also includes an aperture 622, the aperture 622 being positioned at an aperture plane downstream of the interaction region 606. It should be noted here that while the aperture plane is generally perpendicular to the direction of propagation of the drive radiation through the source, the aperture 622 itself may be transverse to the direction of propagation of the drive radiation through the source. The term "transverse" as used in the context of this disclosure encompasses perpendicular to, but is not necessarily limited to, the definition. In an exemplary arrangement, the aperture 622 may be angled relative to the aperture plane to reflect the drive radiation away from the axis of propagation of the drive radiation. For example, the aperture may be formed in a mirror that is angled with respect to the propagation axis. In such an arrangement, at least a portion of the aperture 622 will coincide with the aperture plane. As with other devices described herein, the aperture 622 is configured to allow at least a portion of the emitted radiation to pass through and block at least a portion of the drive radiation beam. Typically an SXR and/or EUV efficient design will allow a substantial portion (such as greater than 50%, and ideally > 90%) to pass through.

The drive laser blocking efficiency may depend on the sensitivity to downstream optics, sample and measurement to the drive radiation. Typically, this sensitivity is very high and the residual drive radiation passing through the barrier can be many orders of magnitude smaller than the input beam. Thus, typically a metal filter may be used downstream of the blocking aperture to filter out any residual driving radiation passing through the aperture. Given this situation, the blocking efficiency of the aperture can be set according to the damage threshold of the downstream filter. Typically, such filters are able to withstand no more than 1W of laser light, corresponding to a blocking efficiency of around 99%.

It should be noted that within the present invention, forming an image means that the image plane is positioned within the depth of focus (DOF) of the lens. The definition of the image forming the beam stop is: the distance between the plane of the aperture and the conjugate plane of the beam stop plane is less than the depth of focus. The definition of the image forming the spatial distribution of the driving radiation beam is: the distance between the interaction plane and the conjugate plane of the object plane is smaller than the depth of focus.

The definition of forming a sharp image is: the image plane is a conjugate plane.

In the device of fig. 6a, the aperture plane is positioned relative to the beam stop plane and the lens 620 such that an image of the beam stop is formed at the aperture plane. The beam stop plane and the aperture plane are mounted such that they may be conjugate planes. This results in the drive radiation beam cross-section having a well-defined void in the centre when reaching the aperture plane (i.e. the laser beam will be annular at the aperture plane), allowing effective blocking of the drive laser beam through the aperture while transmitting the emitted (e.g. SXR and/or EUV) beam.

As can be seen in fig. 6a, the device also comprises an object plane. The object plane may be a conjugate plane of the gas target plane, i.e. the lens forms a sharp image of the object plane in the gas target plane. In an exemplary arrangement (e.g. shown in fig. 6 c), the desired intensity distribution of the drive radiation is formed in the object plane. In other exemplary arrangements (e.g., in fig. 8), there may not be an actual plane that produces the desired distribution, and thus the object plane may not be involved. In some exemplary arrangements and as explained below, the object plane and the interaction plane may also be positioned such that a sharp image of the intensity of the drive radiation at the object plane is formed at the interaction plane, i.e. they are conjugate planes.

Fig. 6b shows a schematic illustration of the beam stop 618, the lens 620, the interaction region 606 and the aperture 622. The relative distances between the beam stop plane and lens 620, and between lens 620 and the aperture plane, can be calculated using the equations:

dlens-aperture=(1+mb)f

wherein m isbIs the magnification factor of the beam stop to the image of the beam stop provided by lens 620, and f is the focal length of lens 620.

The intensity distribution of the driving radiation at both the beam stop plane 624 and the aperture plane 626 is shown in fig. 6b and shows that the intensity in the central area of the driving radiation 626 at the aperture plane is zero.

As described above, in some exemplary arrangements, the object plane and the interaction plane are also conjugate planes, such that drive radiation having a desired intensity distribution at the interaction plane 630 is imaged at the object plane 628. Such relative distances, shown in fig. 6c and between the object plane and lens 620, and between lens 620 and the interaction plane, can be calculated using the equations:

dlens-inter=(1+ms)f

wherein m issIs the magnification factor of the object plane to the image of the object plane provided by lens 620, and f is the focal length of lens 620.

Such an arrangement can ensure that the object plane (with the correct intensity distribution of the drive radiation) is imaged at the interaction plane in addition to the beam blocking plane being imaged at the aperture plane. In some such example apparatus, the image of the object plane may be decoupled from the image of the beam blocking plane such that they do not interfere with each other, or at least that they do interfere with each other sufficiently small that operation of the source is not adversely affected. In such context, the term "decoupled" may cover the following: the presence of the beam stop does not significantly affect the intensity distribution of the drive radiation at the target plane, and the presence of the non-gaussian beam at the object plane does not significantly affect the intensity distribution of the drive radiation at the aperture plane.

In an exemplary arrangement, the size of the beam stop 618 at the beam stop plane, relative to the size of the drive radiation at the beam stop plane, may be configured to ensure that an image of the beam stop 618 is not presented at the interaction region. In some example arrangements, the size of the beam stop 618 may be at least 20% smaller, at least 40% smaller, at least 50% smaller, or at least 70% smaller than the corresponding size of the drive radiation beam. Further, in an exemplary arrangement, the size of the beam stop 618 may be 10% to 70%, or 20% to 60%, or 30% to 50% smaller than the corresponding size of the drive radiation beam.

In other example arrangements, the size of the beam stop 618 and the drive radiation at the beam stop plane may be configured to achieve a Strehl ratio (Strehl ratio) of 0.8 or greater. In an arrangement where the drive beam and beam stop 618 have a circular cross-section, the dimension may be a diameter.

The decoupling may also be defined in terms of the depth of focus (DOF) of one or both of the image of the beam stop at the aperture plane and the image of the driving radiation beam at the interaction plane. The DOF in this context of content can be defined as: the range from the lens (within which an image can be formed), as explained in more detail below.

For purposes of the methods and apparatus disclosed herein, the interaction plane may be positioned outside of the DOF of the beam stop image. That is, in some exemplary arrangements where the aperture plane coincides with the center of the DOF of the beam stop image, the aperture plane may be sufficiently far from the interaction plane such that the interaction plane falls outside of the DOF of the beam stop image. The image of the beam stop is not formed at the interaction plane.

Similarly, the aperture plane may be positioned such that it is outside the DOF of the image forming the drive radiation intensity distribution at the interaction plane. That is, in some exemplary devices where the interaction plane coincides with the center of the DOF of the image of the drive radiation intensity distribution, the interaction plane may be sufficiently far from the aperture plane so that the aperture plane falls outside the DOF of the image of the drive radiation intensity distribution. An image of the intensity distribution of the drive radiation at the object plane is formed at the aperture plane.

Fig. 7 shows a schematic diagram illustrating the principle of DOF. As can be seen, radiation rays originating from beam stop 618 are focused by lens 620 to a point coincident with the aperture plane. This point is the point at which the image of the beam stop 618 can be in sharp focus and can be the center of the DOF. At locations upstream and downstream of the center of the DOF, the degree to which the image of the beam stop 618 is out of focus increases with increasing distance away from the center of the DOF. At these locations, which are far from the center of the DOF, the radiation rays from the beam stop 618 are distributed over a blur spot, referred to as a circle of confusion.

The DOF of the beam stop image is the distance on either side of the aperture plane within which the circle of confusion is less than or equal to the maximum diameter c. The diameter c may be set to a size whereby the beam stop image is blurred over the relevant radial field. The interaction plane may be positioned outside the DOF at a distance from the aperture plane that is further than the distance of the circle of confusion that would result in the diameter c. That is, at the gas target plane, the circle of confusion should be larger than the size of the field distribution in the gas target plane, which is typically tens of microns. Half of the DOF should be less than the distance between the gas target plane and the aperture plane.

DOF can be calculated using the following formula:

DOF=2cN(1+m)

where N is the ratio of the focal length to the beam diameter at lens 620 (typically about 100) and m is the magnification of the beam stop image by lens 620 (typically about 1). Using those typical features and assuming c to be 30 μm, the DOF is approximately 10 mm. Features of the apparatus disclosed herein may be configured such that the DOF of the beam stop image is in the range of 7mm to 13mm, 8mm to 12mm, and in particular examples 10mm to 11 mm. In some devices, the diameter c of the circle of confusion of the beam stop image is 35 μm or less or 30 μm or less.

An exemplary apparatus for a radiation source may include a beam shaper. The beam shaper may be any device capable of controlling the intensity distribution of the driving radiation, which may be generated by a laser as discussed above. Examples of beam shapers include Spatial Light Modulators (SLMs), flat-top beam shapers, and deformable mirrors. The beam shaper may be placed significantly closer to the beam stop than the actual object plane, so that the overall system size may be significantly reduced. The beam shaper may be used for generating a drive radiation beam having a desired intensity distribution at the object plane. In other arrangements, the beam shaper may also provide a desired intensity distribution at any other plane upstream of the beam stop. In an exemplary arrangement using a beam shaper, the lens left side may not have an actual object plane that reproduces the desired distribution at the target plane, in which case the object plane may be considered as a virtual object plane.

Fig. 8 shows an exemplary apparatus for use with a radiation source, wherein a beam shaper 800 is positioned upstream of the beam stop 618. The beam shaper 800 receives drive radiation 802 from a drive radiation source (not shown) and has, for example, a wide gaussian intensity distribution, and produces drive radiation 804 having a particular intensity distribution. The apparatus also includes a sensor and feedback controller 806. The sensor senses the intensity distribution of the drive radiation at the interaction plane and communicates it to the feedback controller 806. The feedback controller 806 communicates data relating to the sensed intensity profile to the beamformer 804, which controls the intensity profile of its output based thereon. In an embodiment, a 1% beam splitter is used to project a portion of the drive radiation towards the CCD camera used as the sensor indicated above. The position of such a beam splitter can be seen in the transmission direction of the drive radiation only before or after the lens.

The device of fig. 8 may comprise one or more of the features of the device disclosed above, and may be combined with the features of fig. 5a as appropriate.

Fig. 9 shows an exemplary arrangement comprising two lenses 620a, 620 b. This results in a reduction of the overall length of the system. Fig. 9 may also include one or more of the features disclosed with respect to the other devices above and/or may be combined with the features of fig. 5a as appropriate.

The two lens system of fig. 9 does not provide an intermediate focus of the drive radiation as this would lead to a degradation of the drive radiation beam due to ionization of air. The second lens 620b is a negative lens which forms the virtual intermediate image 900, not the actual intermediate image of the intensity distribution of the driving radiation of the object plane. The second lens 620b is a negative lens. Second lens 620b is upstream of beam stop 618.

The distance of the second lens 620b from the object plane can be determined by the following equation:

where m _ is the magnification of the image of the intensity of the drive radiation beam produced by second lens 620b, and f _ is the focal length of second lens 620 b. The distance of the second lens 620b from the virtual intermediate image 900 can be determined by the following equation:

dlens2-virtual=(1-m-)|f-|

the distance of the first lens 620a from the virtual intermediate image 900 can be determined by the following equation:

wherein m is+Is the magnification of the virtual image 900 of the intensity of the drive radiation beam produced by the first lens 620a, and f+Is the focal length of the first lens 620 a. The distance of the first lens 620a from the virtual intermediate image 900 can be determined by the following equation:

dlens1-inter=(1+m+)f+

fig. 10 shows a flow chart of a method for inducing an interaction between a driving radiation beam and a medium for generating emitted radiation by higher order harmonic generation.

The driving radiation generated by the driving radiation source, such as a laser, is propagated 1000 to the vacuum vessel, as shown in fig. 5 a. At least a portion of the drive radiation is blocked 1002 by the beam blocker 618. The beam stop 618 may be as described above or in any other form known to those skilled in the art. The partially blocked drive radiation propagates through the lens 1004, which lens 1004 focuses it onto the interaction plane. The focused drive radiation is incident on a medium 1006, such as a gas target positioned downstream of the beam stop and lens. The lens also focuses the drive radiation originating from the beam stop 618 at an aperture plane positioned downstream of the interaction plane, such that an image of the beam stop 618 is formed at the aperture plane.

Further embodiments are defined in the subsequently numbered aspects:

1. a radiation source device operable to cause interaction between a driving radiation beam and a medium to generate emitted radiation by higher order harmonic generation, the radiation source device comprising:

an interaction region positioned at an interaction plane and configured to receive the medium;

a beam stop positioned at a beam stop plane upstream of the interaction plane and configured to partially block the drive radiation beam; and

a beam shaper positioned at an object plane upstream of the beam blocking plane and configured to control a spatial distribution of the drive radiation beam.

2. The apparatus of aspect 1, wherein at least one lens is positioned upstream of the interaction plane and downstream of the beam stop plane, wherein the lens is positioned such that an image of the spatial distribution of the drive radiation beam is formed at the interaction plane.

3. The apparatus of aspect 1 or 2, wherein the lens is positioned such that the object plane and the interaction plane are conjugate planes.

4. The apparatus of any one of the preceding aspects, wherein an aperture is positioned at an aperture plane downstream of the interaction plane and configured to allow at least a portion of the emitted radiation to pass through and configured to block at least a portion of the drive radiation beam, wherein the aperture plane is positioned relative to the beam blocking plane and the lens such that an image of the beam block is formed at the aperture plane.

5. The apparatus of aspect 4, wherein the lens is positioned such that the beam blocking plane and the aperture plane are conjugate planes.

6. The apparatus of aspect 4 or 5, wherein a relationship between a size of the beam stop in the beam stop plane and a size of the drive radiation beam in the beam stop plane is such that an image of the beam stop is decoupled from an image of the spatial distribution of the drive radiation beam.

7. The apparatus of aspect 6, wherein a size of the beam stop in the beam stop plane is 30% or less of a size of the drive radiation beam in the beam stop plane.

8. The apparatus of aspect 7, wherein the beam stop and the drive radiation beam have a substantially circular cross-section in the beam stop plane, and wherein the size of the beam stop and the size of the drive radiation beam are diameters.

9. The apparatus according to any of the preceding aspects, wherein the focal depth of the image of the beam stop does not overlap the interaction plane.

10. The apparatus of aspect 9, a center of a depth of focus of an image of the beam stop substantially coincides with the aperture plane.

11. The apparatus according to aspect 9 or 10 when dependent directly or indirectly on aspect 4, wherein a circle of confusion associated with a depth of focus of the image of the beam stop is larger than an image of the drive radiation beam at the interaction plane.

12. The apparatus of aspect 11, wherein the depth of focus of the image of the beam stop has a maximum circle of confusion with a diameter of 35 μm or less.

13. The apparatus according to any one of the preceding aspects, wherein a depth of focus of the spatially distributed image of the driving radiation beam does not overlap the aperture plane.

14. The apparatus of aspect 13, wherein a center of a depth of focus of the image of the intensity distribution of the drive radiation beam substantially coincides with the interaction plane.

15. The apparatus according to any of aspects 9 to 14, wherein a depth of focus of an image of the beam stop and/or a depth of focus of an image of the spatial distribution of the driving radiation beam is determined by:

depth of focus2cN(1+m)

Where c is the maximum circle of confusion, N is the ratio of the focal length of the lens to the diameter of the drive radiation beam at the lens, and m is the magnification factor of the image of the beam stop at the aperture plane.

16. The apparatus as defined in any one of aspects 4 to 15 when depending directly or indirectly on aspect 3, wherein the beam shaper comprises a spatial light modulator positioned at the object plane.

17. The apparatus of aspect 16, the radiation source apparatus further comprising: a sensor configured to detect a spatial profile of the drive radiation beam at the interaction plane; and

a feedback controller configured to feed back data relating to the detected spatial profile of the drive radiation beam to the spatial light modulator,

wherein the spatial light modulator is configured to control the spatial profile of the drive radiation beam based on the fed back data.

18. A metrology apparatus comprising an apparatus according to any one of the preceding aspects.

19. A lithographic cell comprising an apparatus according to any one of the preceding aspects or a metrology apparatus according to aspect 18.

20. A method of inducing interaction between a drive radiation beam and a medium for generating emission radiation by higher order harmonic generation, the method comprising:

blocking the drive radiation beam by a beam blocker such that at least a portion of the drive radiation beam is blocked;

propagating the drive radiation beam through at least one lens positioned downstream of the beam stop;

impinging the drive radiation beam on an interaction region comprising the medium and positioned downstream of the beam stop; and

focusing the drive radiation at an aperture positioned at an aperture plane downstream of the interaction region such that an image of the beam blocker is formed at the aperture plane, the aperture configured to allow at least a portion of the emitted radiation to pass through and configured to block at least a portion of the drive radiation beam.

In the context of the present document, the term HHG or HHG source is introduced. HHG refers to High Harmonic Generation (High Harmonic Generation), which is also sometimes referred to as High order Harmonic Generation (High order Harmonic Generation). HHG is a non-linear process in which a target (e.g., a gas, plasma, or solid sample) is irradiated at an interaction region by a dense laser pulse of drive radiation. Subsequently, the target may emit radiation at a frequency that is a multiple of the frequency of the drive radiation of the laser pulses. Such frequencies that are multiples are referred to as harmonics of the radiation of the laser pulses. It can be defined that the HHG radiation produced is higher harmonics than the fifth harmonic and these harmonics are referred to as higher harmonics.

The physical process forming the basis of the HHG process is different from the physical process involving radiation that produces lower harmonics (typically 2 nd to 5 th harmonics). The generation of radiation of lower harmonics involves perturbation theory. The trajectory of the (bound) electrons of the atoms in the target is substantially determined by the coulomb potential of the matrix ions.

In HHG, the trajectories of electrons contributing to the HHG process are generally determined by the electric field of the incident drive laser. In the so-called "three-step model" describing HHG, electrons tunnel through the coulomb barrier, which is substantially suppressed by the laser field (step 1), follow a trajectory determined by the laser field (step 2), and recombine with a certain probability while releasing their kinetic energy and ionization energy in the form of radiation (step 3). Another way to verbally express the difference between HHG and generation of lower harmonic radiation is to define all radiation with photon energy above the ionization energy of the target atom as "higher harmonic" radiation, e.g., HHG generating radiation, and all radiation with photon energy below the ionization energy as non-HHG generating radiation. If neon is used as the gas target, all radiation with a wavelength shorter than 62nm (with photon energy higher than 20.18 eV) is generated by means of the HHG process. For argon as the gas target, all radiation with photon energies above about 15.8eV is generated by means of the HHG process.

Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications. Possible other applications include the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat panel displays, Liquid Crystal Displays (LCDs), thin film magnetic heads, etc.

Although specific reference may be made in this text to embodiments of the invention in the context of lithographic apparatus, embodiments of the invention may be used in other apparatus. Embodiments of the invention may form part of a mask inspection apparatus, a metrology apparatus, or any apparatus that measures or processes an object such as a wafer (or other substrate) or a mask (or other patterning device). These devices may be generally referred to as lithographic tools. Such a lithography tool may use vacuum conditions or ambient (non-vacuum) conditions.

Although specific reference may be made to "metrology apparatus/tool/system" or "inspection apparatus/tool/system," these terms may refer to the same or similar types of tools, apparatuses, or systems. For example, inspection or metrology devices including embodiments of the present invention may be used to determine characteristics of structures on a substrate or wafer. For example, an inspection apparatus or metrology apparatus including embodiments of the present invention may be used to detect defects in a substrate or structures on a substrate or wafer. In such embodiments, the characteristic of interest of a structure on the substrate may be related to a defect in the structure, the absence of a particular portion of the structure, or the presence of an unwanted structure on the substrate or on the wafer.

Although the foregoing may refer specifically to the use of embodiments of the invention in the context of optical lithography, it will be appreciated that the invention is not limited to optical lithography, and may be used in other applications, for example imprint lithography, where the context allows.

While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. The above description is intended to be illustrative and not restrictive. Thus, it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below.

26页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:用于射频部件的多层冷却结构

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!