Method for dicing die attach film

文档序号:914706 发布日期:2021-02-26 浏览:2次 中文

阅读说明:本技术 用于切割管芯附接膜的方法 (Method for dicing die attach film ) 是由 马尔科·诺塔里安尼 莱斯利·迈克尔·莱亚 鲁塞尔·韦斯特曼 于 2019-03-27 设计创作,主要内容包括:本发明涉及一种在复合膜上切割衬底的方法。提供了一种具有支撑膜、框架和衬底的工件。所述衬底具有顶表面和底表面。所述衬底的顶表面具有至少一个管芯区域和至少一个切割道区域。所述复合膜介于所述衬底和所述支撑膜之间。使用衬底蚀刻处理从所述至少一个切割道区域蚀刻衬底材料,以露出复合膜的一部分。使用第一蚀刻处理蚀刻所述复合膜的第一组分。使用第二蚀刻处理等离子体蚀刻所述复合膜的露出部分的第二组分。(The present invention relates to a method of cutting a substrate on a composite film. A workpiece having a support film, a frame, and a substrate is provided. The substrate has a top surface and a bottom surface. The top surface of the substrate has at least one die area and at least one scribe line area. The composite film is interposed between the substrate and the support film. The substrate material is etched from the at least one scribe line region using a substrate etch process to expose a portion of the composite film. A first component of the composite film is etched using a first etching process. A second component of the exposed portion of the composite film is etched using a second etch process plasma.)

1. A method of cutting a substrate on a composite film, the method comprising:

providing a workpiece having a support film, a frame, and a substrate, the substrate having a top surface and a bottom surface, the top surface of the substrate having at least one die region and at least one scribe line region;

disposing the composite film between the substrate and the support film;

etching substrate material from the at least one scribe line region using a substrate etching process to expose a portion of the composite film;

etching a first component of the composite film using a first etching process; and

a second etching process is used to etch a second component of the exposed portion of the composite film.

2. The method of claim 1, wherein the composite membrane comprises a matrix-based material.

3. The method of claim 1, wherein the first component is a reinforcing component.

4. The method of claim 1, wherein the second component is a matrix component.

5. The method of claim 1, wherein the first etching process is at least partially isotropic.

6. The method of claim 1, wherein the first etching process is isotropic.

7. The method of claim 1, wherein the first etch process has a different process chemistry than the second etch process.

8. The method of claim 1, wherein the second etching process is at least partially anisotropic.

9. The method of claim 1, wherein the second etching process is anisotropic.

10. The method of claim 1, wherein the etching of the substrate material is performed in a vacuum chamber and the etching of the composite film is performed in a vacuum chamber.

11. A method of dicing a substrate on a die attach film, the method comprising:

providing a workpiece having a support film, a frame, and a substrate, the substrate having a top surface and a bottom surface, the top surface of the substrate having at least one die region and at least one scribe line region;

the die attach film is disposed between the substrate and the support film;

etching substrate material from the at least one scribe line region using a substrate etching process to expose a portion of the die attach film;

etching a first component of the die attach film using a first etch process; and

a second component of the exposed portion of the die attach film is plasma etched using a second etch process.

12. The method of claim 11, wherein the first etching process is at least partially isotropic.

13. The method of claim 11, wherein the first etching process is isotropic.

14. The method of claim 11, wherein the first etch process has a different process chemistry than the second etch process.

15. The method of claim 11, wherein the second etching process is at least partially anisotropic.

16. The method of claim 11, wherein the second etching process is anisotropic.

17. A method of dicing a substrate on a die attach film, the method comprising:

providing a workpiece having a support film, a frame, and a substrate, the substrate having a top surface and a bottom surface, the top surface of the substrate having at least one die region and at least one scribe line region;

disposing the die attach film between the substrate and the support film;

etching substrate material from the at least one scribe line region using a substrate etching process to expose a portion of the die attach film;

isotropically etching a first component of the die attach film using a first etching process; and

a second component of the exposed portion of the die attach film is anisotropically plasma etched using a second etch process.

18. The method of claim 17, further comprising: removing a portion of the die attach film during the step of etching substrate material from the at least one scribe line region.

19. The method of claim 17, wherein the first etch process uses at least one different process gas than the second etch process.

20. The method of claim 17, wherein the first etch process uses a different process gas than the second etch process.

Technical Field

The present invention relates to the use of an apparatus for forming individual device chips from a semiconductor wafer and a die attach film.

Background

Semiconductor devices are fabricated on a substrate in the form of a thin wafer. Silicon is commonly used as the substrate material, but other materials such as III-V compounds (e.g., GaAs and InP) are also used. In some cases (e.g., in the manufacture of LEDs), the substrate may be a sapphire or silicon carbide wafer with a thin layer of semiconductor material deposited on the substrate. The diameter of such substrates ranges from 2 inches and 3 inches up to 200mm, 300mm and 450mm, and there are many standards (e.g., SEMI) to describe such substrate dimensions.

Plasma etching equipment is widely used to process these substrates to produce semiconductor devices. Such apparatus typically comprise a vacuum chamber equipped with a high density plasma source, such as an Inductively Coupled Plasma (ICP), which is used to ensure a high etch rate, which is necessary for cost-effective manufacturing. To remove heat generated during processing, the substrate is typically clamped to a temperature controlled support. A pressurized fluid, typically a gas such as helium, is maintained between the substrate and the support to provide a heat conduction path for heat transfer. A mechanical clamping mechanism may be used in which a downward force is applied to the top surface of the substrate, but this may cause contamination due to contact between the clamp and the substrate. Workpiece warping may also occur when mechanical clamps are used, as contact is typically made at the edges of the workpiece and the pressurized fluid exerts a force on the back side of the workpiece. More commonly, an electrostatic chuck (ESC) is used to provide the clamping force.

A number of gas chemistries have been developed that are suitable for the material to be etched. These gas chemistries often use halogens (e.g., fluorine, chlorine, bromine, iodine, etc.) or halogen-containing gases along with other gases added to improve the quality of the etch (e.g., etch anisotropy, mask selectivity, and etch uniformity). Using fluorine-containing gases (such as SF)6、F2Or NF3) To etch silicon at a high rate. In particular, the following process (Bosch or TDM) is typically used to etch deep features into silicon: the process alternates high rate silicon etch steps with passivation steps to control the etched sidewalls. Chlorine-containing gases and bromine-containing gases are commonly used to etch III-V materials.

Plasma etching is not limited to semiconductor substrates and devices. The technique may be applied to any substrate type where a suitable gas chemistry is available to etch the substrate. Other substrate types may include carbon-containing substrates (including polymer substrates), ceramic substrates (e.g., AlTiC and sapphire), metal substrates, and glass substrates.

To ensure consistent results, low breakage, and ease of handling, robotic wafer handling is often used in the manufacturing process. The handler is typically designed to support the wafer with minimal contact to minimize possible contamination and reduce particle generation. Edge contact is typically used only, or bottom contact is used only at a few locations near the wafer edge (typically within 3mm to 6mm of the wafer edge). As previously described, the handling scheme includes a wafer chuck, a robot arm, and a fixture within the process chamber including the wafer support and ESC, designed to process standard wafer sizes.

After fabrication on a substrate, the individual devices (dies or chips) are typically separated from each other prior to packaging or prior to employing the individual devices (dies or chips) in other electronic circuits. Mechanical means have been used to separate the dies from each other for many years. Such mechanical means include severing the wafer along scribe lines aligned with the substrate crystal axis or sawing into or through the substrate in the areas between the dies (streets) by using a high speed diamond saw. More recently, lasers have also been used to facilitate the scribing and dicing process.

Such mechanical wafer dicing techniques have limitations that can impact the cost effectiveness of the process. Flaking and breakage along the die edges can reduce the number of good quality die produced, and the process becomes more troublesome as the wafer thickness decreases. The area consumed by the saw blade (kerf) may be greater than 100 microns, which is a valuable area that is not available for die production. For wafers containing small dies (e.g., individual semiconductor devices having die sizes of 500 microns by 500 microns), this may mean a loss of greater than 20%. Further, for a wafer having many small dies and having many dicing streets, since each street is continuously cut, the cutting time increases and the productivity decreases. Mechanical means are also limited to separation along straight lines and the production of square or rectangular chips. This may not represent an underlying device topology (e.g., a high power diode may be circular) and thus the straight-line die format may result in a large loss of available substrate area. Laser dicing also has limitations because of residual material left on the die surface or stresses created in the die.

It is important to note that both the saw cutting technique and the laser cutting technique are essentially serial operations. Therefore, as device sizes decrease, the time to cut the wafer increases in proportion to the total dicing street length on the wafer.

Recently, plasma etching techniques have been proposed as a means to separate the dies and overcome some of these limitations. After device fabrication, the substrate may be masked with a suitable masking material, leaving open areas between the dies. The masked substrate may then be processed using a reactive gas plasma that etches the substrate material exposed between the dies. Plasma etching of the substrate may occur partially or completely through the substrate. In the case of partial plasma etching, the individual dies may be separated by separating the dies by a subsequent cleaving step. This technique offers many benefits over mechanical cutting:

1) reduction of breakage and flaking;

2) kerf dimensions can be reduced to well below 20 microns;

3) as the number of die increases, the processing time does not significantly extend;

4) for thinner wafers, the processing time is shortened; and

5) the die topology is not limited to a straight line format.

After device fabrication, but before die separation, the substrate may be thinned by mechanical grinding or similar process to a thickness of several hundred microns or even less than one hundred microns.

The substrate is typically mounted on a dicing fixture prior to the dicing process. The fixture is typically comprised of a rigid frame that supports an adhesive support film. The substrate to be cut is adhered to the support film. The fixture holds the separated die for subsequent downstream operations. Most knives for wafer dicing (saws or laser-based knives) are designed to process substrates in this configuration, and many standard fixtures have been established; however, such fixtures are quite different from the substrate they support. Although such fixtures have been optimized for use with current wafer dicing equipment, such fixtures cannot be processed in equipment that has been designed to process standard substrates. Thus, current automated plasma etching equipment is not suitable for processing substrates that are fixed for dicing, and it is difficult to achieve the benefits that plasma etching techniques would have had for die separation.

Some groups have considered using plasma to singulate dies from a wafer substrate. U.S. patent No.6,642,127 describes a plasma dicing technique in which a substrate wafer is first attached to a carrier wafer via an adhesive material before plasma processing in an apparatus designed for processing silicon wafers. The technique proposes adapting the form factor of the substrate to be cut to be compatible with standard wafer processing equipment. While this technique allows standard plasma equipment to dice wafers, the proposed technique will not be compatible with standard equipment downstream of the dicing operation. Additional steps would be required to accommodate the downstream equipment or to restore the substrate form factor of standard downstream equipment.

U.S. patent application No.2010/0048001 contemplates the use of a wafer that is adhered to a film and supported within a frame. However, in the 2010/0048001 application, the masking process is accomplished by adhering a masking material to the back side of the wafer prior to plasma processing and using a laser to define the etch streets. In contrast to standard dicing techniques, which singulate the substrate from the front side, this technique introduces additional complex and expensive steps that may negate some of the advantages of plasma dicing. Additional requirements for aligning the back mask with the front side device pattern are also required.

What is needed, therefore, is a plasma etching apparatus that can be used to cut semiconductor substrates into individual dies and that is compatible with established wafer cutting techniques that handle substrates mounted on a support film and supported in a frame, and that is also compatible with standard front-side masking techniques.

The benefits of the present invention are not provided in the prior art.

It is therefore an object of the present invention to provide an improvement which overcomes the deficiencies of the prior art devices and which significantly contributes to the advancement of dicing semiconductor substrates using plasma etching apparatus.

It is another object of the present invention to provide a method of dicing a substrate on a composite film, the method comprising: providing a workpiece having a support film, a frame, and a substrate, the substrate having a top surface and a bottom surface, the top surface of the substrate having at least one die region and at least one scribe line region; providing the composite film between a substrate and a support film; etching the substrate material from the at least one scribe line region using a substrate etching process to expose a portion of the composite film; etching a first component of the composite film using a first etching process; and etching the second component of the exposed portion of the composite film using a second etch process plasma.

It is still another object of the present invention to provide a method of dicing a substrate on a die attach film, the method comprising: providing a workpiece having a support film, a frame, and a substrate, the substrate having a top surface and a bottom surface, the top surface of the substrate having at least one die region and at least one scribe line region; disposing the die attach film between the substrate and the support film; etching the substrate material from the at least one scribe line region using a substrate etching process to expose a portion of the die attach film; etching a first component of the die attach film using a first etch process; and plasma etching the second component of the exposed portion of the die attach film using a second etch process.

It is still another object of the present invention to provide a method of dicing a substrate on a die attach film, the method comprising: providing a workpiece having a support film, a frame, and a substrate, the substrate having a top surface and a bottom surface, the top surface of the substrate having at least one die region and at least one scribe line region; disposing the die attach film between the substrate and the support film; etching the substrate material from the at least one scribe line region using a substrate etching process to expose a portion of the die attach film; isotropically etching a first component of the die attach film using a first etching process; and anisotropically plasma etching the second component of the exposed portion of the die attach film using a second etch process.

The foregoing has outlined some of the pertinent objects of the present invention. These objects should be construed to be merely illustrative of some of the more prominent features and applications of the intended invention. Many other beneficial results can be attained by applying the disclosed invention in a different manner or modifying the invention within the scope of the disclosure. Accordingly, other objects and a fuller understanding of the invention may be had by referring to the summary of the invention and the detailed description of the preferred embodiment in addition to the scope of the invention defined by the claims taken in conjunction with the accompanying drawings.

Disclosure of Invention

A plasma processing apparatus is described that allows for plasma dicing of semiconductor substrates. After device fabrication and any wafer thinning, the front side (circuit side) of the substrate may be masked using conventional masking techniques that protect the circuit elements and leave unprotected areas between the dies. The substrate is mounted on a thin support membrane that is supported within a rigid frame. The substrate/support film/frame assembly is transferred into a vacuum processing chamber and exposed to a reactive gas plasma, wherein unprotected areas between the dies are etched away. During this process, the frame and support film are protected from damage by the reactive gas plasma. This process can completely separate the die. After etching, the substrate/support film/frame assembly may additionally be exposed to a plasma that removes potentially harmful residues from the substrate surface. After the substrate/support film/frame assembly is transferred out of the process chamber, the die is removed from the support film using well-known techniques, and then further processed (e.g., packaged) as needed.

It is another feature of the present invention to provide a method of cutting a substrate on a composite film. The method includes providing a workpiece having a support film, a frame, and a substrate. The substrate has a top surface and a bottom surface. The top surface of the substrate has at least one die area and at least one scribe line area. The composite film is interposed between the substrate and the support film. The substrate material is etched from the at least one scribe line region using a substrate etch process to expose a portion of the composite film. A first component of the composite film is etched using a first etching process. The exposed portion of the composite film of the second composition is plasma etched using a second etch process. The composite membrane may comprise a matrix-based material. The first component may be a reinforcing component. The second component may be a matrix component. The first etching process may be at least partially isotropic. The first etching process may be isotropic. The first etch process may have a different process chemistry than the second etch process. The second etching process may be at least partially anisotropic. The second etching process may be anisotropic. The etching of the substrate material may be in a vacuum chamber and the etching of the composite film may be in a vacuum chamber. The substrate may have a semiconductor layer such as silicon and/or the substrate may have a layer such as GaAs. The substrate may have a protective layer, such as a photoresist layer, that is patterned on the circuit surface of the substrate. The substrate may be placed on a workpiece support in a process chamber. The plasma source may be in communication with the process chamber. The plasma source may be a high density plasma source. An electrostatic chuck may be incorporated into the workpiece support. The electrostatic chuck may clamp the workpiece to the workpiece support. Thermal communication between the workpiece and the workpiece support may be provided by supplying a pressurized gas, such as helium, to the workpiece from the workpiece support. The pressure within the process chamber may be reduced by a vacuum pump and a process gas may be introduced into the process chamber through a gas inlet. A vacuum compatible transfer module may be provided in communication with the process chamber. The workpiece may be loaded onto a transfer arm in the vacuum compatible transfer module such that the processing chamber is maintained in a vacuum state during transfer of the workpiece from the vacuum compatible transfer module to the processing chamber.

It is yet another feature of the present invention to provide a method of dicing a substrate on a die attach film. The method includes providing a workpiece having a support film, a frame, and a substrate. The substrate has a top surface and a bottom surface. The top surface of the substrate has at least one die area and at least one scribe line area. The die attach film is interposed between the substrate and the support film. The substrate material is etched from the at least one scribe line region using a substrate etch process to expose a portion of the die attach film. A first component of the die attach film is etched using a first etch process. The second component of the exposed portion of the die attach film is plasma etched using a second etch process. The first etching process may be at least partially isotropic. The first etching process may be isotropic. The first etch process may have a different process chemistry than the second etch process. The second etching process may be at least partially anisotropic. The second etching process may be anisotropic. The substrate may comprise a semiconductor layer such as silicon and/or the substrate may comprise a layer such as GaAs. The semiconductor layer is typically on the front side (e.g., circuit side) of the substrate. The substrate may have a protective layer, such as a photoresist layer, that is patterned on the circuit surface of the substrate. The substrate may be placed on a workpiece support in a process chamber. The plasma source may be in communication with the process chamber. The plasma source may be a high density plasma source. An electrostatic chuck may be incorporated into the workpiece support. The electrostatic chuck may clamp the workpiece to the workpiece support. Thermal communication between the workpiece and the workpiece support may be provided by supplying a pressurized gas, such as helium, to the workpiece from the workpiece support. The pressure within the process chamber may be reduced by a vacuum pump and a process gas may be introduced into the process chamber through a gas inlet. A vacuum compatible transfer module may be provided in communication with the process chamber. The workpiece may be loaded onto a transfer arm in the vacuum compatible transfer module such that the processing chamber is maintained at a vacuum state during transfer of the workpiece from the vacuum compatible transfer module to the processing chamber.

It is still another feature of the present invention to provide a method of dicing a substrate on a die attach film. The method includes providing a workpiece having a support film, a frame, and a substrate. The substrate has a top surface and a bottom surface. The top surface of the substrate has at least one die area and at least one scribe line area. The die attach film is interposed between the substrate and the support film. The substrate material is etched from the at least one scribe line region using a substrate etch process to expose a portion of the die attach film. A first component of the die attach film is isotropically etched using a first etch process. A second component of the exposed portion of the die attach film is anisotropically plasma etched using a second etch process. The method may further include removing a portion of the die attach film during the step of etching the substrate material from the at least one scribe line region. The first etching process may use at least one different process gas than the second etching process. The first etching process may use a different process gas than the second etching process. The substrate may comprise a semiconductor layer such as silicon and/or the substrate may comprise a layer such as GaAs. The substrate may have a protective layer, such as a photoresist layer, that is patterned on the circuit surface of the substrate. The substrate may be placed on a workpiece support in a process chamber. The plasma source may be in communication with the process chamber. The plasma source may be a high density plasma source. An electrostatic chuck may be incorporated into the workpiece support. The electrostatic chuck may clamp the workpiece to the workpiece support. Thermal communication between the workpiece and the workpiece support may be provided by supplying a pressurized gas, such as helium, to the workpiece from the workpiece support. The pressure within the process chamber may be reduced by a vacuum pump and a process gas may be introduced into the process chamber through a gas inlet. A vacuum compatible transfer module may be provided in communication with the process chamber. The workpiece may be loaded onto a transfer arm in the vacuum compatible transfer module such that the processing chamber is maintained at a vacuum state during transfer of the workpiece from the vacuum compatible transfer module into the processing chamber.

The foregoing has outlined rather broadly the more pertinent and important features of the present invention in order that the detailed description of the invention that follows may be better understood so that the present contribution to the art can be more fully appreciated. Additional features of the invention will be described hereinafter that form the subject of the claims of the invention. It should be appreciated by those skilled in the art that the conception and specific embodiment disclosed may be readily utilized as a basis for modifying or designing other structures for carrying out the same purposes of the present invention. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the invention as set forth in the appended claims.

Drawings

FIG. 1 is a top view of a semiconductor substrate showing individual devices separated by dicing streets;

FIG. 2 is a cross-sectional view of a semiconductor substrate showing individual devices separated by dicing streets;

FIG. 3 is a cross-sectional view of a semiconductor substrate mounted to a support film and frame;

fig. 4 is a cross-sectional view of a semiconductor substrate etched by processing, the semiconductor substrate being mounted to a support film and a frame;

fig. 5 is a cross-sectional view of separated semiconductor devices mounted to a support film and a frame;

FIG. 6 is a cross-sectional view of a vacuum processing chamber;

FIG. 7 is a cross-sectional view of the wafer/frame in a processing position;

FIG. 8 is a cross-sectional view of a semiconductor substrate mounted to a support film and a frame, the frame being supported by a transfer arm;

FIG. 9 is a cross-sectional view of the wafer/frame in the transfer position;

FIG. 10 is a schematic view of a workpiece according to one embodiment of the invention;

FIG. 11A is a schematic view of a workpiece in which the substrate material in the scribe lane areas has been removed;

FIG. 11B is a schematic illustration of a point in the cutting process flow where the composite film has been at least partially removed in the region of the cut streets;

FIG. 12A is a flow diagram of a portion of an improved substrate dicing sequence according to one embodiment of the invention;

FIG. 12B is a flow diagram of a portion of an improved substrate dicing sequence according to one embodiment of the invention;

FIG. 13A is a flow diagram of a portion of an improved substrate dicing sequence according to one embodiment of the invention;

FIG. 13B is a flow diagram of a portion of an improved substrate dicing sequence according to one embodiment of the invention;

FIG. 14A is a schematic view of a workpiece in which the substrate material in the scribe lane areas has been removed;

FIG. 14B is a schematic illustration of the workpiece after a first treatment has been performed to remove a first component of the composite film;

FIG. 14C is a schematic illustration of the workpiece after a second treatment has been performed to remove a second component of the composite film;

FIG. 15 is a flow diagram of a portion of an improved substrate dicing sequence according to one embodiment of the invention;

FIG. 16A is a schematic view of the workpiece after the substrate material in the scribe lane areas has been removed;

fig. 16B is a schematic view of a barrier film that has been applied to a protective device;

FIG. 16C is a schematic view of a portion of a workpiece in which the barrier film has been removed from a portion of the dicing street area, exposing the composite film;

FIG. 16D is a schematic illustration of the workpiece after a first treatment has been performed to remove a first component of the composite film;

FIG. 16E is a schematic illustration of a portion of a workpiece in which a second component of the composite film has been removed by a second treatment; and is

Fig. 16F is a schematic view of a portion of a workpiece with the barrier film removed.

Like reference numerals refer to like parts throughout the several views of the drawings.

Detailed Description

A typical semiconductor substrate after device fabrication is shown in fig. 1. Substrate 100 has a plurality of regions on its surface containing device structures 110 separated by dicing street regions 120, which allows the device structures to be separated into individual dies. Although silicon is commonly used as the substrate material, other materials selected for their particular properties are often employed. Such substrate materials include gallium arsenide and other III-V materials or non-semiconductor substrates (e.g., polymer substrates where thin film semiconductor devices are fabricated on polymers) on which semiconductor materials have been deposited. Other substrate types may also include silicon-on-insulator (SOI) wafers and semiconductor wafers mounted on a carrier. Although the above examples describe die separated by streets, aspects of the invention may be advantageously applied to other pattern configurations on a substrate.

In the present invention, as shown in the cross-sectional view in fig. 2, device structure 110 is then covered with protective material 200, while scribe line region 120 remains unprotected. The protective material 200 may be a photoresist that is applied and patterned by well-known techniques. As a final processing step, some devices are coated with a protective dielectric layer, such as silicon dioxide or PSG, which may be applied throughout the entire substrate. The protective dielectric layer may be selectively removed from the scribe line regions 120 by patterning with photoresist and etching the dielectric material, as is well known in the industry. This allows device structure 110 to be protected by the dielectric material while substrate 100 in scribe line region 120 is substantially unprotected. Note that in some cases, test features used to check wafer quality may be located in scribe line region 120. Depending on the particular wafer fabrication process flow, these test features may or may not be protected during the wafer dicing process. Although the device pattern shown shows a rectangular die, this is not required and the individual device structures 110 may be any other shape, such as hexagonal, which is best suited for optimal utilization of the substrate 100. It is important to note that while the previous examples refer to dielectric materials as protective films, the present invention may be practiced with a wide range of protective films including semiconductor and conductive protective films. Further, the protective layer may be composed of a variety of materials. It is also important to note that certain portions of the protective film may be an integral part of the final device structure (e.g., passivation dielectric, metal pads, etc.). Furthermore, the present invention may also be advantageously used with bulk wafers without having to have devices or device structures. One such example may be a semiconductor substrate (silicon, III-V compound, etc.) mounted or not on a carrier, covered by a mask material defining the structure to be etched. The substrate may also comprise at least one additional layer having different material properties, such as for example an insulating layer.

The substrate 100 may be thinned, typically by a grinding process, which reduces the substrate thickness to a thickness in the range of a few hundred microns to about 30 microns or less. As shown in fig. 3, the thinned substrate 100 is then adhered to a support film 300, which in turn is mounted in a rigid frame 310 to form a workpiece 320. The frame is typically metal or plastic, but other frame materials are possible. The support film 300 is typically made of a carbon-containing polymer material and may additionally have a thin conductive layer applied to its surface. The support film 300 provides support for the thinned substrate 100, which may otherwise be too fragile to handle without breakage. It should be noted that the order of patterning, thinning, and then mounting is not critical, and the steps can be adjusted to best suit the particular device, substrate, and processing equipment used. It is important to note that while the previous example considered the following workpieces 320: the work 320 is constructed by mounting the substrate 100 on the adhesive support film 300, and then adhering the adhesive support film to the frame 310, but the present invention is not limited by the arrangement of the wafer and the carrier. The wafer carrier may comprise a variety of materials. A carrier supports the substrate during plasma dicing. Furthermore, the wafer need not be attached to a carrier (e.g., an electrostatically clamped carrier, a carrier with a mechanical clamping mechanism, etc.) using an adhesive (i.e., any method that holds the wafer to the carrier and allows for thermal communication of the substrate to the cathode to be sufficient).

After the substrate 100 and the support film 300 are mounted in the cutting frame 310, the workpiece 320 is transferred into a vacuum processing chamber. Preferably, the transfer module is also under vacuum, which allows the process chamber to remain under vacuum during transfer, thereby reducing processing time and preventing exposure of the process chamber to atmosphere and possible contamination. As shown in fig. 6, the vacuum processing chamber 600 is equipped with: a gas inlet 610; a high density plasma source 620 for generating a high density plasma, such as an Inductively Coupled Plasma (ICP); a workpiece support 630 for supporting the workpiece 320; an RF power source 640 to couple RF power to the workpiece 320 through the workpiece support 630; and a vacuum pump 650 for pumping gas from the process chamber 600. During processing, as shown in fig. 4, unprotected regions 120 of substrate 100 may be etched away using a reactive plasma etch process 400. This may separate the device 110 into individual dies 500, as shown in fig. 5. In another embodiment of the present invention, the unprotected regions 120 of the substrate 100 are partially etched away using a reactive plasma etch process 400. In this case, die separation may be accomplished using downstream operations, such as mechanical breaking operations. These downstream processes are well known in the art.

Although the previous examples describe the invention using a vacuum chamber in conjunction with a high density plasma (e.g., ECR, ICP, helicon, and magnetically enhanced plasma sources), a wide range of plasma processes can also be used to etch unprotected areas of the substrate. For example, one skilled in the art can envision variations of the present invention that use a low density plasma source in a vacuum chamber or even use a plasma at or near atmospheric pressure.

When the workpiece (substrate/tape/frame assembly) 320 is in position for plasma processing, the frame 310 may be protected from exposure to the plasma 400. Exposure to the plasma 400 may cause heating of the frame 310, which in turn may cause localized heating of the support film 300. For a commonly used dicing tape, at temperatures above about 100 ℃, the physical properties of the support film 300 and its adhesive ability may decrease and it will no longer adhere to the frame 310. In addition, exposure of the frame 310 to the reactive plasma gas may cause degradation of the frame 310. This may limit the useful life of the frame 310, as the frame 310 is typically reused after wafer dicing. Exposure of the frame 310 to the plasma 400 may also adversely affect the etch process: for example, the frame material may react with the process gas, effectively reducing the concentration of the frame material in the plasma, which may reduce the etch rate of the substrate material, thereby extending the process time. To protect the frame 310, as shown in fig. 6 and 7, a protective cover ring 660 is positioned over the frame 310. In one embodiment, the cover ring 660 does not contact the frame 310 because contact with the frame 310 (which can occur during transfer into the processing chamber 600) can produce undesirable particles.

The transfer arm 1100 supports the frame 310 and the substrate 100 by transferring the workpiece (substrate/tape/frame assembly) 320 into and out of the process chamber 600 by the transfer arm 1100. The transfer arm 1100 may support both the support membrane 300 and the frame 310, or only the frame 310, but importantly, the assembly 320 cannot be supported only under the substrate 100 area because of the fragile nature of the thinned substrate 100. The transfer arm 1100 has an alignment fixture 1110 affixed thereto that aligns the frame 310 in a repeatable position prior to transferring the frame 310 into the process chamber 600. The frame 310 may also be aligned by other techniques well known in semiconductor processing, such as optical alignment. Alignment may also be performed on the substrate 100 by such well-known techniques. It is important that the workpiece (substrate/tape/frame assembly 320) be aligned prior to placement of the workpiece (substrate/tape/frame assembly 320 within the process chamber 600) to avoid mis-processing as described below.

When the workpiece (e.g., substrate/tape/frame assembly) 320 is transferred into the processing chamber 600, the workpiece (e.g., substrate/tape/frame assembly) 320 is placed onto the lift mechanism 680 and removed from the transfer arm 1100. The reversal process occurs during the transfer of the workpiece (e.g., substrate/tape/frame assembly) 320 out of the process chamber 600. The lift mechanism 680 contacts the frame 310 area and does not provide point contact with the substrate 100. Particularly after die separation and workpiece 320 unloading, point contact of the workpiece with the substrate 100 can result in damage to the substrate 100 because the flexibility of the support film 300 can cause the dies to contact each other and damage occurs. FIG. 9 shows a lifting mechanism 680 lifting the frame 310 from the floor; however, it is also possible to remove the frame 310 from the transfer arm 1100 by contacting the top surface, the bottom surface, the outer diameter, or any combination thereof, of the frame 310 using a clamping device. The frame 310, the workpiece support 630, and the cover ring 660 are movable relative to one another in order to have sufficient clearance to place the workpiece 320 on the workpiece support 630 to process the substrate 100. This may be accomplished by moving the cover ring 660, the workpiece support 630, or the lift mechanism 680, or any combination of the three.

During plasma processing, heat is transferred to all surfaces that the plasma contacts, including the substrate 100, the support film 300, and the frame 310. The cover ring 660 will minimize the heat transferred to the area supporting the film 300 and frame 310, but the substrate 100 must be exposed to the plasma 400 for processing.

As shown in fig. 6, an apertured mechanical barrier 690 may be interposed between the plasma source 620 and the workpiece support 630. The mechanical spacer 690 may be electrically conductive (e.g., made of or coated with metal. the mechanical spacer 690 can be made of aluminum. the mechanical spacer 690 can help reduce the ion density and plasma emission intensity to the workpiece while allowing a high level of neutral species to reach the workpiece. for applications related to the present invention, it is preferred that the ion density and plasma emission intensity from the plasma source 620 to the workpiece be attenuated by the mechanical spacer in the range of 10% to greater than 99%. for applications related to the present invention, the attenuation produced by the mechanical spacer can be greater than 10%. in a preferred embodiment, the attenuation produced by the mechanical spacer can be greater than 30%. in another preferred embodiment, the attenuation produced by the mechanical spacer can be greater than 50%. in yet another preferred embodiment, the attenuation produced by the mechanical barrier is greater than 90%.

Although the schematic in fig. 6 shows a process chamber 600 having one mechanical barrier 690, it may be beneficial to provide more than one mechanical barrier 690 between the plasma source 620 and the substrate 100. The mechanical partitions 690 may be the same size and shape, or may be different sizes and/or shapes. Multiple mechanical partitions 690 (e.g., overlapping or stacked partitions) may be configured in the same plane or in different planes. The plurality of mechanical partitions 690 may have identical or different perforation shapes, sizes, and patterns from each other.

The substrate can be processed using techniques well known in the semiconductor industry. Silicon substrates are typically processed using fluorine-based chemistries, such as SF 6. SF6/O2 chemistry is commonly used to etch silicon because of its high rate and anisotropy. A disadvantage of this chemistry is its relatively low selectivity to the mask material (e.g., to the photoresist), which is 15-20: 1. Alternatively, a Time Division Multiplexing (TDM) process may be used that alternates between deposition and etching to produce a highly anisotropic deep profile. For example, an alternating process of etching silicon uses a C4F8 step to deposit polymer on all exposed surfaces of the silicon substrate (i.e., the mask surface, the etched sidewalls, and the etched floor), then uses an SF6 step to selectively remove the polymer from the etched floor, then isotropically etch a small amount of silicon. These steps may be repeated until terminated. Such TDM processes can produce anisotropic features deep into silicon with a selectivity to the mask layer greater than 200: 1. This then makes TDM processing an ideal method for plasma separation of silicon substrates. Note that the present invention is not limited to the use of fluorine containing chemistries or Time Division Multiplexed (TDM) processes. For example, the silicon substrate may also be etched with Cl-containing, HBr-containing, or I-containing chemistries, as is known in the art.

For III-V substrates (such as GaAs), chlorine-based chemistries are widely used in the semiconductor industry. In the manufacture of radio frequency wireless devices, a thinned GaAs substrate is mounted on a carrier with the device side down, then thinned on the carrier and patterned with photoresist. The GaAs is etched away to expose the electrical contacts to the front side circuitry. This well-known process can also be used to separate devices by front side processing as described in the above-mentioned invention. In the above-mentioned invention, other semiconductor substrates and appropriate plasma processing can also be used for the separation of the dies.

Although the examples above discuss the use of plasma to separate dies (dicing), aspects of the invention may be useful for related applications, such as thinning a substrate by plasma etching. In this application, the substrate 100 may have some features on the surface to be etched, or alternatively, the surface to be etched may be featureless (e.g., thinning a bulk substrate).

Plasma dicing can effectively divide a wide range of devices. However, some die structures include at least one composite layer that may be difficult to plasma etch without damaging (e.g., damaging) the device. One example of such a structure is a silicon device containing a Die Attach Film (DAF) to be singulated. The die attach film is an adhesive layer that can be used to bond chips to each other. The die may be singulated prior to the bonding operation. During integrated circuit device fabrication, the DAF may be used to create a multi-chip stack package.

To obtain the desired electromechanical film properties, Die Attach Films (DAFs) are typically designed using composite materials. For example, the die attach film may be composed of a polymer matrix (e.g., epoxy, etc.) along with embedded filler material (e.g., SiO2 particles, etc.). Both materials (epoxy and SiO2) in this example were able to be plasma etched. For example, the polymer matrix may be etched in an oxygen-containing plasma. Silicon dioxide (SiO2) compositions can also be plasma etched, but due to the strength of the silicon-oxygen bond, ion energy or higher wafer temperatures are typically required to obtain commercially viable SiO2 plasma etch rates. Although these higher ion energy and/or higher temperature conditions will etch the SiO2 component in the DAF film, these conditions will also typically etch the exposed material of the device structure, possibly damaging the device (e.g., reducing device performance and/or yield). Accordingly, there is a need to be able to remove composite material during the dicing process flow without significantly damaging the singulated devices.

Fig. 10 shows an example of a workpiece 2800. The workpiece 2800 is similar to the workpiece 320 with the addition of at least one composite layer 2810 to be segmented. The workpiece may comprise a substrate 100, the substrate 100 comprising at least one device structure 110 and at least one scribe line region 120. The device structure 110 may be at least partially covered by a protective film 200.

In all embodiments, composite layer 2810 may be composed of more than one component. The components of the composite film may differ from one another with respect to chemical properties (e.g., composition) or physical properties (e.g., material phase, material structure, etc.) or both. Composite layer 2810 may be less than 100 microns thick. Composite layer 2810 may be less than 50 microns thick. Composite layer 2810 may be less than 25 microns thick.

In all embodiments, the composite material may comprise carbon (e.g., polymeric materials, graphite, SiC, etc.). The composite material may include silicon (e.g., Si, SiO2, SiC, SiN, etc.). The composite material may comprise a metal.

In all embodiments, the composite layer can be in contact with the substrate 100. The composite layer can be in contact with the support film 300. The composite material can be in contact with both the substrate 100 and the support film 300. The composite film may be adhesively attached to the substrate 100. The composite layer 2810 can be interposed between the substrate 100 and a support film. The composite layer may be a Die Attach Film (DAF). The composite film may be a DAF comprising a filler. The DAF fill material may comprise Si. The DAF filler material may be SiO 2.

The composite layer may comprise a material that requires an ion assisted plasma etch mechanism to etch in a plasma. The composite layer may comprise a material that is permeable to hydrogen fluoride vapor.

The composite material may comprise a matrix component. The matrix component may comprise a metal. The matrix component may comprise carbon (e.g., a polymer, etc.). The matrix component may be a polymer matrix. The polymer matrix may be thermosetting. The polymer matrix may be thermoplastic. The polymer matrix may comprise any of the following resins: epoxy, polyimide, polyamide, polyester, and the like. The matrix may comprise more than one component (e.g., resin, copolymer, polymer blend, etc.). The matrix component may be carbon. The matrix component may encapsulate the filler component.

The composite material may include a composite reinforcement material (e.g., filler, etc.). The reinforcing material may comprise more than 5% of the composite material. The reinforcing material may comprise more than 25% of the composite material. The reinforcing material may comprise more than 50% of the composite material. The reinforcing material may comprise more than 75% of the composite material. The reinforcing material may comprise more than 90% of the composite material. The reinforcing material may be in discrete regions within the composite (e.g., filler particles). The composite reinforcement material may comprise a wide range of materials including carbonaceous materials, siliceous materials, metal-containing materials, ceramics, and the like. The composite reinforcement material may comprise silicon dioxide (SiO 2). The composite reinforcement may have an isotropic or anisotropic composition. The composite material may be a fibre reinforced composite. The fiber-reinforced composite may comprise long fibers, short fibers, or a combination of both. The composite material may be a sheet-like reinforced composite. The composite material may be a particle reinforced composite. The particle-reinforced composite may comprise spherical particles. The particles may be solid, hollow, or a combination of the two. The composite material may be a laminar reinforced composite.

Fig. 11A and 11B illustrate a workpiece 2800 at various stages in the segmentation process.

Fig. 11A shows workpiece 2800 where substrate material 100 in scribe line region 120 has been removed. A substrate etch process may be used to remove substrate material from at least one scribe street region 120. The substrate removal process may remove substrate material 100 from substantially all of scribe line regions 120. The substrate removal process may remove all substrate material from the at least one scribe line region. The substrate removal process may remove substantially all of the substrate material in substantially all of the scribe line areas. During the substrate etching process, the workpiece temperature is typically maintained below a maximum value that may damage the support film strip. Many support films (e.g., dicing tapes) are compatible with temperatures up to about 100 ℃. Some support films may be compatible with temperatures of 200 ℃ or higher.

The substrate etching process may be a vacuum process. The substrate etch process may be a plasma etch process. The plasma etch process may be a cyclical process (e.g., a Bosch process, a Deep Reactive Ion Etch (DRIE) process, a Time Division Multiplexing (TDM) process, etc.). The substrate etching process may be at least partially anisotropic. The substrate etching process may be completely anisotropic.

The substrate etching process may expose at least a portion of the composite film 120 overlapping the scribe line region 120. The substrate etch process may expose all of the composite layers that overlap the scribe line regions 120.

The substrate etch process may be designed to remove portions of the substrate material that overlap the protective material 200 (e.g., the substrate etch feature profile may be concave). In other words, the width of the substrate-etched features (e.g., dicing streets in the substrate) resulting from the substrate etch is narrower at the substrate surface containing the devices 110 as compared to the width of the features at the opposite side of the substrate.

The substrate etch process removes substrate material faster than the composite material (e.g., the substrate etch process may have a substrate with a composite etch selectivity (substrate removal/composite film removal) greater than 1). The substrate etch process may have a substrate with a composite etch selectivity greater than 10. The substrate etch process may have a substrate with a composite etch selectivity greater than 100. The composite material may be used as an etch stop for a substrate etch process.

The substrate etching process may etch the composite material. The substrate etch process may remove a portion of the composite material. In the scribe line region where the composite film overlaps the scribe line region, the substrate removal process itself does not expose the support film. The substrate etch process alone cannot etch through the composite film.

Fig. 11B illustrates a point in the cutting process flow where the compound membrane 2810 in at least one cut lane region 120 has been at least partially removed. The composite membrane 2810 in at least one dicing lane region 120 may be completely removed. To singulate the die, composite layer 2810 may be damaged or removed. The composite film in the scribe line region 120 may be completely removed.

Fig. 12A is a flow chart of a portion of an improved substrate dicing sequence. Once the substrate material in the at least one dicing street region 120 has been removed, processing of the composite film is required to continue the dicing process. The composite film process may be an etching process. Composite membrane processing may consist of multiple steps.

For processing the composite film, the improved process may use a first process to etch a first component of the composite film. The first process may selectively etch a first component of the composite film. The selectivity between the two materials used to remove the process is defined as the ratio of the process material removal rates of the two materials. For the first treatment, the treatment selectivity (e.g., removal rate of the first composite film component/removal rate of the other composite film component (first component: other component)) may be greater than 1: 1. For the first treatment, the selectivity of the first component to the further component may be greater than 10: 1. For the first treatment, the selectivity of the first component to the further component may be greater than 100: 1. The first composite film component may be a reinforcing component.

The first treatment may remove material in an at least partially isotropic manner. The first removal process may be completely isotropic.

The first treatment may remove a first composite film component from at least a portion of the composite film. The first treatment may remove the first component from at least a portion of the composite film in which the composite film does not overlap the substrate. The first treatment may remove at least a portion of the composite film that overlaps the dicing lane region. The first treatment may remove a first component of the composite film that overlaps the dicing lane regions. The first treatment may remove all of the first component of the composite material that overlaps the kerf regions in the composite material. The first treatment may remove substantially all of the first composite component from at least a portion of the composite film.

The first treatment may be selective to the substrate (e.g., the removal rate of the first composite film component/removal rate of the substrate (first component: substrate) is greater than 1: 1). First process first component substrate selectivity may be greater than 10: 1. First process first component substrate selectivity may be greater than 100: 1.

The first treatment may be selective to the support film (e.g., the removal rate of the first composite film component/the removal rate of the support film (first component: support film) is greater than 1: 1). First process first component the support film selectivity may be greater than 10: 1. First process first component the support film selectivity may be greater than 100: 1.

The first treatment may be a gas phase treatment. The first process may be a process that does not include plasma. The first process may include a fluorine-containing process gas. The fluorine-containing process gas may be hydrogen fluoride Vapor (VHF). In the first treatment, at least one reactant may diffuse through the components of the composite (e.g., VHF may readily diffuse through certain types of polymer layers). The first treatment can remove the unexposed first composite material (e.g., the first composite material is embedded within the composite material, e.g., a VHF-containing treatment is used to remove SiO2 in a SiO2 reinforced composite material having a polymer matrix). Preferably, the first composite etch process does not damage the device. The first process may be a vacuum process. The pressure of the first process may be higher than the pressure in the substrate etching process.

After the first treatment, a second treatment may be applied to the composite film. The second process may be an etching process. The second process may be a plasma etch process. The second treatment may remove a second component of the composite film. The second treatment may selectively remove a second component of the composite membrane. The second treatment may remove at least a portion of the second component of the composite film. The second process may be a vacuum process. The second process may be performed at a lower pressure than the first process.

The second treatment may employ a different treatment chemistry than the first treatment. The second process may comprise at least one different process gas compared to the first process. The second process may comprise more than one different process gas than the first process. The second process may not have a common process gas with the first process. The second treatment may utilize an oxygen-containing reactant (e.g., O)2、O3、CO2、CO、SO2Etc.). The second treatment may utilize a nitrogen-containing reactant (e.g., N)2、N2O, CxHyOH, etc.). The second treatment may utilize a hydrogen-containing reactant (e.g., H)2、NH3、H2O, etc.).

In embodiments including the workpiece 2800, for the second process, the process selectivity (e.g., removal rate of the second composite film component/removal rate of another composite film component (second component: another component)) may be greater than 1: 1. For the second treatment, the second component to another component selectivity may be greater than 10: 1. For the second treatment, the second component to another component selectivity may be greater than 100: 1. The second composite membrane component may be a matrix component.

The second treatment may remove material in an at least partially anisotropic manner. The second treatment may be completely anisotropic. The second treatment may be at least partially anisotropic. The second treatment may remove the second component more quickly in a direction perpendicular to the plane of the support film than in a direction parallel to the plane of the tape. The second treatment may be isotropic.

The second treatment may remove a second composite film component from at least a portion of the composite film. The second treatment may remove the second component from at least a portion of the composite film in which the composite film does not overlap the substrate. The second treatment may remove at least a portion of the composite film that overlaps the dicing lane region. The second treatment may remove a second component of the composite film that overlaps the dicing lane regions. The second treatment may remove all of the second component of the composite material that overlaps the kerf region in the composite material. The second treatment may remove substantially all of the second composite component from at least a portion of the composite film.

The second treatment may be selective to the substrate (e.g., the removal rate of the second composite film component/removal rate of the substrate (second component: substrate) is greater than 1: 1). Second process second component substrate selectivity may be greater than 10: 1. Second process second component substrate selectivity may be greater than 100: 1.

The second treatment may be non-selective to the support film (e.g., the removal rate of the second composite film component/the removal rate of the support film (second component: support film) is less than or equal to 1: 1). The second process cannot etch completely through the support film. The second process may etch into the support film. The second process may etch into the support film in a region overlapping the at least one scribe line region. The second process may etch into the support film in all regions overlapping with all of the scribe line regions. The second process may etch to a depth of less than 10 microns into the support film. The second process may etch to a depth of less than about 10 microns in the region overlapping the scribe line region. In the case where the second treatment is not fully isotropic, the second treatment may remove at least a portion of the second component in at least one region overlapping the substrate.

Fig. 12B shows another embodiment of the present invention. Preferably, the first and second processes do not damage the device. Fig. 12B illustrates an embodiment of the invention in which at least one of the composite material etch processes may result in device damage. In this embodiment, the barrier film may be applied to the device prior to the composite film processing step. The barrier film may be applied prior to the substrate etching process. The barrier film may be applied prior to the assembly of the substrate to the workpiece. The barrier film may be applied after the substrate removal process. The barrier film protects the device from degradation by at least one step in the composite film removal process. The barrier film may be applied by a vacuum coating process. The barrier film may be silicon-containing. The barrier film may be SiN. The barrier film may be a silicon-rich SiN film. The barrier film may be silicon (e.g., amorphous silicon, etc.). The barrier film may be carbonaceous. The barrier film may comprise an organic material. The barrier film may comprise polyimide. The barrier film may comprise arylene. The barrier film may be removed after the composite film removal treatment. The first process etches a first component of the composite film after the substrate etching process has been performed. After the first treatment has been performed, the second treatment etches the second component of the composite film. After the second treatment has been performed, the workpiece may be sent downstream for further processing.

Fig. 13A shows another embodiment of the present invention. In this embodiment, the substrate in the at least one scribe line region exposing the composite layer is removed. The first process etches a first component of the composite film after the substrate etching process has been performed. After the first treatment has been performed, the second treatment etches the second component of the composite film. After the second treatment has been performed, the workpiece may be sent downstream for further processing.

Fig. 13B shows yet another embodiment of the present invention. In this embodiment, the substrate material is removed from the at least one scribe line region. The first process etches a first component of the composite film after the substrate etching process has been performed. After the first treatment has been performed, the second treatment etches the second component of the composite film. After the second treatment, if the composite layer is not sufficiently processed, the first and second treatments may be repeated. The first process and the second process may be repeated at least once. The loop process may comprise one process step (e.g., a one-step loop that is deformed or changed between at least one subsequent iteration through the step). The cyclic process may comprise at least two process steps. The loop process may perform at least one process step and then repeat at least one of the process steps. Fig. 13B shows an example of loop processing. In a cyclic process, at least one process step may be repeated a plurality of times. If the processing steps are repeated in a loop process, the processing conditions may be exactly the same as in the previous iteration (or loop). The process conditions of at least one process step may be varied between two repeated process cycles. The repeated processing conditions may be varied between more than two repeated processing cycles. The repeated processing conditions may be varied between each repeated processing cycle. The process conditions for the repetition of at least one process step may be varied in each cycle. Fig. 14A-14C illustrate workpiece conditions for a portion of the inventive process. Fig. 14A shows a workpiece from which substrate material in the scribe lane areas has been removed. The composite layer 3205 in the dicing street area has been exposed. The composite film in fig. 14A is comprised of a first component 3220 and a second component 3210. Fig. 14B shows the workpiece after a first process has been performed to remove a first component 3220 of the composite film 3205. In the region where the composite film overlaps the dicing lane region, the first component has been removed from the composite film 3205. Removal of the first component 3220 may leave a void 3230 in the composite 3210. Fig. 14C shows the workpiece after a second treatment has been performed to remove the second component 3210 of the composite film 3205. In the region of the composite film overlapping the dicing lane region, the second component has been removed. In fig. 14C, the die has been singulated.

Fig. 15 shows another embodiment of the present invention. In this embodiment, a substrate removal process is performed to remove substrate material from the scribe line regions. After the substrate material is removed, a barrier layer may be added to protect the device from subsequent processing. It is important to note that the barrier film may be applied prior to the substrate removal process. After the composite layer has been exposed, a first process is performed to etch the first composite film component. A second process is performed to etch the second composite film component. The barrier layer on the device may be removed. The workpiece may be sent downstream for additional processing.

Fig. 16A to 16F show cross sections of the workpiece used for the process flow shown in fig. 15. Fig. 16A shows the workpiece after the substrate material in the scribe line region has been removed. The composite film in the scribe line area is exposed. Figure 16B shows that a barrier film has been applied to protect the device. The barrier film may cover a surface of the substrate exposed during the substrate removal process. The barrier film may cover the exposed surface of the composite film. Since the barrier film is designed to resist the composite film removal process, the barrier film should be removed from the composite film in the area to be removed.

The barrier film may be removed from the dicing street area. Fig. 16C shows a portion of a workpiece in which the barrier film has been removed from a portion of the dicing street area, exposing the composite film. Fig. 16D shows the workpiece after a first process has been performed to remove a first component 3220 of the composite film 3420. Removal of the first component 3220 may leave voids 3230 in the composite membrane. Fig. 16E shows a portion of a workpiece in which the second component of the composite film has been removed by a second treatment. The support film 300 has been exposed. Fig. 16F shows a portion of a workpiece with the barrier film removed. At this point, the die 500 has been singulated and may be sent downstream for further processing.

In all embodiments, the substrate etching process and the first process may be performed in the same process chamber. The first process and the second process may be performed in the same process chamber. The substrate etching process and the second process may be performed in the same chamber. The substrate etching process, the first process, and the second process may be performed in the same chamber.

In the case where both the substrate etching process and the first process are vacuum processes, these two processes may be performed without exposing the workpiece to the atmosphere (for example, the substrate etching process is performed under vacuum, followed by the first process under vacuum, in which any operation (e.g., wafer conveyance, etc.) is also performed under vacuum between the substrate etching and the first process).

In the case where both the substrate etching process and the second process are vacuum processes, both processes may be performed without exposing the workpiece to the atmosphere (e.g., the substrate etching process is performed under vacuum, followed by the second process under vacuum, where any operation (e.g., wafer conveyance, etc.) is also performed under vacuum between the substrate etching and the first process).

In the case where both the first process and the second process are vacuum processes, both processes may be performed without exposing the workpiece to the atmosphere (e.g., the first etching process is performed under vacuum, followed by the second process under vacuum, where any operation (e.g., wafer conveyance, etc.) is also performed under vacuum between the substrate etching and the first process).

In the case where the substrate etching, the first processing, and the second processing are all vacuum processing, all of these processes may be performed without exposing the workpiece to the atmosphere (for example, the substrate etching, the first etching processing, and the second processing are performed under vacuum, with any operation (for example, wafer conveyance, etc.) also performed under vacuum between these processes).

For example, fig. 16 shows the application of the invention to a workpiece containing a Die Attach Film (DAF), see fig. 16A. DAF film 3205 contains approximately 50% SiO2 filler 3220 in an epoxy-containing polymer matrix 3210. The SiO2 particles 3220 are about 1 micron in diameter. A plasma etch process using a Deep Reactive Ion Etch (DRIE) etch process is used to remove substrate material (not shown) from the scribe line regions. The Plasma etch process was performed on a commercially available MDS-100 Plasma etch system from Plasma-Therm, LLC, using three steps per cycle, as shown in the following table:

deposition of Etching A Etching B
Time<Second of> 1-10 1-5 1-20
Pressure intensity<mTorr> 10-150 10-150 50-2000
SF6Flow rate<sccm> 0-100 0-300 200-2000
C4F8Flow rate<sccm> 50-200 0-100 0-100
O2Flow rate<sccm> 0-100 0-100 0-500
Flow rate of Ar<sccm> 0-200 0-200 0-200
RF bias power (W) 0-100 0-1000 0-200
ICP power (W) 500-5000 500-5000 1000-10000+

For the above example, after the plasma etch removes the substrate material in the scribe line region, the workpiece is exposed to a first process comprising VHF to remove the SiO2 filler from the composite DAF material 3205. The VHF material can easily remove SiO2 particles in the exposed scribe line regions by diffusion through the polymer matrix of the DAF. The VHF treatment removed SiO2 particles with minimal loss of polymer matrix and substrate. VHF processing for etching SiO2 sacrificial films is known in the art. The following table shows examples of VHF processing parameters:

VHF treatment
Time<Second of> 10-1200
Pressure intensity<Support> 0.1-200
Temperature of<℃> -10-+100

For the example above, after the VHF removes the SiO2 filler from the composite DAF material, a single-step plasma etch process was applied to completely remove the polymer matrix. The following table describes examples of such a single-step plasma etch process:

plasma etching
Time<Second of> 60-1200
Pressure intensity<mTorr> 10-150
Flow rate of O2<sccm> 0-200
Flow rate of Ar<sccm> 0-200
RF bias power<W> 0-500
ICP power<W> 500-5000

An example of a composite film process that may damage the device is for a device containing SiO2The device of layers was treated with Vapor Hydrogen Fluoride (VHF). The VHF molecules will readily etch SiO2Films and may degrade device performance. Even in SiO2In the case where the device layer is covered with an organic layer (e.g., resist, water-soluble polymer, etc.), the organic layer is also permeable to VHF and thus does not protect the SiO2 layer from VHF etching. VHF molecules can diffuse through many organic (e.g., polymeric) membranes. In this case, the barrier layer may provide protection to the device from the VHF etchant.

The disclosure includes the contents of the appended claims, as well as those contained in the foregoing description. Although the present invention has been described in its preferred form with a certain degree of particularity, it is understood that the present disclosure of the preferred form has been made only by way of example and that numerous changes in the details of construction, combination and arrangement of parts may be resorted to without departing from the spirit and scope of the invention.

35页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:半导体装置

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类