Method for determining a curved pattern of a patterning device

文档序号:958404 发布日期:2020-10-30 浏览:17次 中文

阅读说明:本技术 用于确定图案形成装置的曲线图案的方法 (Method for determining a curved pattern of a patterning device ) 是由 张权 *** 拉斐尔·C·豪厄尔 苏静 邹毅 卢彦文 于 2019-02-28 设计创作,主要内容包括:本文描述了一种用于确定图案形成装置的曲线图案的方法,所述方法包括:获得(i)对应于待印制于经受图案化过程的衬底上的目标图案的所述图案形成装置的初始图像和(ii)配置成根据所述初始图像预测所述衬底的上的图案的过程模型;通过硬件计算机系统从所述初始图像生成增强型图像;通过所述硬件计算机系统使用所述增强型图像生成水平集图像;通过所述硬件计算机系统基于所述水平集图像、所述过程模型和成本函数迭代地确定所述图案形成装置的曲线图案,其中所述成本函数(例如,EPE)确定所预测的图案与所述目标图案之间的差,其中所述差被迭代地减小。(Described herein is a method for determining a curvilinear pattern of a patterning device, the method comprising: obtaining (i) an initial image of the patterning device corresponding to a target pattern to be printed on a substrate undergoing a patterning process and (ii) a process model configured to predict a pattern on the substrate from the initial image; generating, by a hardware computer system, an enhanced image from the initial image; generating, by the hardware computer system, a level set image using the enhanced image; iteratively determining, by the hardware computer system, a curve pattern of the patterning device based on the level set image, the process model, and a cost function, wherein the cost function (e.g., EPE) determines a difference between the predicted pattern and the target pattern, wherein the difference is iteratively reduced.)

1. A method for determining a curve pattern for a patterning device, the method comprising:

obtaining: (i) an initial image of the patterning device corresponding to a target pattern to be printed on a substrate subjected to a patterning process; and (ii) a process model configured to predict a pattern on the substrate from the initial image;

generating, by a hardware computer system, an enhanced image from the initial image;

generating, by the hardware computer system, a level set image using the enhanced image; and

iteratively determining, by the hardware computer system, a curve pattern of the patterning device based on the level set image, the process model, and a cost function, wherein the cost function determines a difference between the predicted pattern and the target pattern, wherein the difference is iteratively reduced.

2. The method of claim 1, wherein the generating an enhanced image comprises:

selecting pixels having a relatively lower intensity compared to the average intensity of the pixels of the entire initial image;

magnifying the intensity of the selected pixels of the initial image; and

combining the intensities of the selected pixels with the intensities of the pixels in the initial image to generate the enhanced image.

3. The method of claim 1, wherein magnifying the intensity of the pixels of the initial image is based on an image processing operation that includes an edge detection filter.

4. The method of claim 2, wherein the selected pixels correspond to first, second, third and/or fourth order sub-resolution assist features, wherein the second order sub-resolution assist features are assist features that are farther away from a feature corresponding to the target pattern than the first order sub-resolution features.

5. The method of claim 1, wherein the generating an enhanced image further comprises:

filtering noise from the enhanced image; and

performing a smoothing operation on the enhanced image.

6. The method of claim 1, wherein generating the curvilinear pattern further comprises:

Transforming the enhanced image into a level set image.

7. The method of claim 1, wherein determining the iteration of the curve pattern comprises:

modifying mask variables corresponding to the level set image;

a contour depicting a threshold plane intersecting the level set image, the contour corresponding to the curvilinear pattern;

determining a gradient of the cost function; and

optimizing values of mask variables of the level set image based on a gradient of the cost function such that the cost function is reduced.

8. The method of claim 1, wherein the cost function is minimized.

9. The method of claim 8, wherein the cost function comprises edge placement error, sidelobe printing penalty, and/or mask rule check violation penalty.

10. The method of claim 1, further comprising:

performing, by the hardware computer system, a patterning step using a curvilinear mask to print a pattern on the substrate via a patterning process.

11. The method of claim 1, wherein the initial image is a continuous transmission mask image comprising features and assist features corresponding to the target pattern.

12. The method of claim 1, further comprising:

fabricating the patterning device to include structural features corresponding to the curvilinear pattern.

13. The method of claim 12, wherein the structural feature corresponds to an optical proximity correction, the optical proximity correction including an assist feature and/or a contour modification.

14. The method of claim 12, further comprising transferring the curvilinear pattern of the patterning device to the substrate via a lithographic apparatus.

15. A computer program product comprising a non-transitory computer readable medium having instructions recorded thereon, the instructions, when executed by a computer, implementing the method of claim 1.

Technical Field

The description herein generally relates to mask fabrication and patterning processes. And more particularly to an apparatus and method for determining patterning device patterns for a design layout.

Background

Lithographic projection apparatus can be used, for example, in the manufacture of Integrated Circuits (ICs). In such a case, the patterning device (e.g., mask) may comprise or provide a pattern corresponding to an individual layer of the IC (a "design layout"), and this pattern can be transferred to a target portion (e.g., comprising one or more dies) on a substrate (e.g., a silicon wafer) that has been coated with a layer of radiation-sensitive material ("resist") by methods such as irradiating the target portion through the pattern on the patterning device. Typically, a single substrate will comprise a plurality of adjacent target portions onto which a pattern is transferred by the lithographic projection apparatus, one target portion at a time, in succession. In one type of lithographic projection apparatus, the pattern on the entire patterning device is transferred onto one target portion at a time; such devices are commonly referred to as steppers. In an alternative apparatus, commonly referred to as a step-and-scan apparatus, the projection beam is scanned over the patterning device in a given reference direction (the "scanning" direction), while synchronously moving the substrate parallel or anti-parallel to this reference direction. Different portions of the pattern on the patterning device are transferred gradually onto a target portion. Since typically a lithographic projection apparatus will have a demagnification ratio M (e.g. 4), the rate at which the substrate is moved F will be 1/M times the rate at which the projection beam scans the patterning device. More information on the lithographic apparatus described herein can be gleaned from, for example, US 6,046,792, which is incorporated herein by reference.

Before transferring the pattern from the patterning device to the substrate, the substrate may undergo various processes, such as priming, resist coating, and soft baking. After exposure, the substrate may undergo other procedures ("post-exposure procedures") such as post-exposure baking (PEB), development, hard baking, and measurement/inspection of the transferred pattern. This series of processes is used as the basis for fabricating the individual layers of a device (e.g., an IC). The substrate may then undergo various processes such as etching, ion implantation (doping), metallization, oxidation, chemical mechanical polishing, etc., all of which are intended to ultimately complete a single layer of the device. If multiple layers are required for the device, the entire process or a variation thereof is repeated for each layer. Eventually, a device will be present in each target portion on the substrate. These devices are then separated from each other by techniques such as dicing or sawing, whereby individual devices can be mounted on a carrier, connected to pins or the like.

Thus, manufacturing a device, such as a semiconductor device, typically involves processing a substrate (e.g., a semiconductor wafer) using multiple fabrication processes to form various features and multiple layers of the device. These layers and features are typically fabricated and processed using, for example, deposition, photolithography, etching, chemical mechanical polishing, ion implantation. Multiple devices may be fabricated on multiple dies on a substrate and then separated into individual devices. Such a device manufacturing process may be considered a patterning process. The patterning process involves a patterning step using a patterning device in the lithographic apparatus, such as optical and/or nanoimprint lithography, to transfer a pattern on the patterning device onto the substrate, and typically, but optionally, involves one or more associated pattern processing steps, such as resist development by a developing apparatus, baking of the substrate using a baking tool, etching of a pattern used by an etching apparatus, etc.

As mentioned, photolithography is a central step in the manufacture of devices, such as ICs, in which a pattern formed on a substrate defines the functional elements of the device, such as a microprocessor, memory chip, etc. Similar lithographic techniques are also used to form flat panel displays, micro-electro-mechanical systems (MEMS), and other devices.

As semiconductor manufacturing processes continue to advance, the size of functional elements has been steadily decreasing while the amount of functional elements (such as transistors) per device has steadily increased over decades, following a trend commonly referred to as "Moore's law". In the current state of the art, multiple layers of devices are fabricated using a lithographic projection apparatus that projects a design layout onto a substrate using illumination from a deep ultraviolet illumination source, forming a single functional element having dimensions well below 100nm (i.e., less than half the wavelength of the radiation from the illumination source (e.g., 193nm illumination source)).

Such a process in which features having a size smaller than the classical resolution limit of a lithographic projection apparatus are printed is commonly referred to as low-k1Lithography according to the resolution formula CD-k1X λ/NA, where λ is the wavelength of the radiation employed (248 nm or 193nm in most current cases), NA is the numerical aperture of the projection optics in the lithographic projection apparatus, CD is the "critical dimension" -usually the smallest feature size printed-and, k 1Is an empirical resolution factor. In general, k1The smaller, the more difficult it becomes to reproduce a pattern on the substrate that resembles the shape and dimensions planned by the designer to achieve a particular electrical functionality and performance. To overcome these difficulties, complex fine-tuning steps are applied to the lithographic projection apparatus, the design layout or the patterning device. These steps include, for example but not limited toLimited to: optimization of NA and optical coherence settings, custom illumination schemes, use of phase-shifting patterning devices, optical proximity correction (OPC, sometimes also referred to as "optical process correction") in design layouts, or other methods generally defined as "resolution enhancement techniques" (RET). The term "projection optics" as used herein should be broadly interpreted as encompassing various types of optical systems, including refractive optics, reflective optics, apertures, and catadioptric optics, for example. The term "projection optics" may also include components operating according to any of these design types for directing, shaping, or controlling the projection beam of radiation collectively or individually. The term "projection optics" may include any optical component in a lithographic projection apparatus, regardless of where the optical component is located in an optical path of the lithographic projection apparatus. The projection optics may include optics for shaping, conditioning and/or projecting the radiation from the source before it passes through the patterning device, or optics for shaping, conditioning and/or projecting the radiation after it passes through the patterning device. Projection optics typically do not include the source and patterning device.

Disclosure of Invention

According to an embodiment, a method of determining a curvilinear pattern of a patterning device is provided. The method comprises the following steps: obtaining (i) an initial image of the patterning device corresponding to a target pattern to be printed on a substrate undergoing a patterning process and (ii) a process model configured to predict a pattern on the substrate from the initial image; generating, by a hardware computer system, an enhanced image from the initial image; generating, by the hardware computer system, a level set image using the enhanced image; iteratively determining, by the hardware computer system, a curve pattern of the patterning device based on the level set image, the process model, and a cost function, wherein the cost function determines a difference between the predicted pattern and the target pattern, wherein the difference is iteratively reduced.

In an embodiment, generating the enhanced image comprises: selecting pixels having a relatively lower intensity compared to the average intensity of the pixels of the entire initial image; magnifying the intensity of the selected pixels of the initial image; and combining the intensity of the selected pixel with the intensity of the pixel in the initial image to generate the enhanced image.

In an embodiment, the intensity of the pixels that magnify the initial image is based on image-based operations, such as edge detection filters, deblurring, averaging, and/or feature extraction or other similar operations.

In an embodiment, the selected pixels correspond to first order, second order, third order and/or other higher order sub-resolution assist features, where the second order sub-resolution assist features are assist features that are further away from the feature corresponding to the target pattern than the first order sub-resolution features.

In an embodiment, wherein said generating an enhanced image further comprises filtering noise from said enhanced image; and performing a smoothing operation on the enhanced image.

In an embodiment, generating the curvilinear mask pattern includes transforming the enhanced image into a level set function/image and delineating a contour of a threshold plane intersecting the level set function, the contour corresponding to the curvilinear pattern.

In an embodiment, determining the iteration of the curve pattern comprises: modifying mask variables corresponding to the level set image; determining a gradient of the cost function; and optimizing values of mask variables of the level set image based on the gradient of the cost function such that the cost function is reduced.

In an embodiment, the cost function is minimized.

In an embodiment, the cost function comprises an edge placement error, a sidelobe printing penalty, and/or a mask rule check violation penalty. The penalty may be a term of the cost function that depends on a violation, e.g., a difference between the mask measurement and a given MRC or mask parameter (e.g., mask pattern width and allowed (e.g., minimum or maximum) mask width). Including a penalty term in the cost function allows such differences to be reduced (in embodiments, minimized).

In an embodiment, the method further comprises performing, by the hardware computer system, a patterning step using the curvilinear mask to print a pattern on the substrate via the patterning process.

In an embodiment, the initial image is a continuous transmission mask image comprising features and assist features corresponding to the target pattern.

In an embodiment, the method further comprises manufacturing the patterning device to comprise structural features corresponding to the curvilinear pattern.

In an embodiment, the structural feature corresponds to an optical proximity correction, the optical proximity correction including an assist feature and/or a contour modification.

In an embodiment, the method further comprises transferring the curvilinear pattern of the patterning device onto the substrate via a lithographic apparatus.

Further, according to an embodiment, a method of determining a curvilinear pattern of a patterning device is provided. The method comprises the following steps: obtaining (i) an initial image of the patterning device corresponding to a target pattern to be printed on a substrate undergoing a patterning process and (ii) a process model configured to predict a pattern on the substrate from the initial image; generating, by a hardware computer system, a transformed image from the initial image for a succession of mask images by applying a binary transformation (e.g., using an sigmoid or other binarization function); iteratively determining, by the hardware computer system, a curve pattern of the patterning device based on the transformed image, the process model, and a cost function, wherein the cost function determines a difference between the predicted pattern and the target pattern, wherein the difference is iteratively reduced.

In an embodiment, determining the iteration of the curve pattern comprises: modifying mask variables of an initial image corresponding to the transformed image; determining a gradient of the cost function; and determining values of mask variables of the level set image based on the gradient of the cost function such that the cost function is reduced.

In an embodiment, the cost function is minimized.

In an embodiment, the cost function comprises an edge placement error, a sidelobe printing penalty, and/or a mask rule check violation penalty.

In an embodiment, the method further comprises performing, by the hardware computer system, a patterning step using the curvilinear mask to print a pattern on the substrate via the patterning process.

In an embodiment, the method further comprises: generating, by the hardware computer system, an enhanced image from the initial image; generating, by the hardware computer system, a transformed image by applying a binary transformation to the enhanced image which is a continuous mask image; and iteratively determining, by the hardware computer system, a curve pattern of the patterning device based on the transformed image, the process model, and the cost function, wherein the cost function determines a difference between the predicted pattern and a target pattern, wherein the difference is iteratively reduced.

In an embodiment, the binary transformation is performed by a logic (logistic) function, a staircase function, and/or an sigmoid function.

In an embodiment, the initial image is a continuous transmission mask image comprising features corresponding to the target pattern and sub-resolution assist features.

In an embodiment, the method further comprises manufacturing the patterning device to comprise structural features corresponding to the curvilinear pattern.

Further, according to an embodiment, there is provided a computer program product comprising a non-transitory computer-readable medium having instructions recorded thereon, which when executed by a computer implement the method set forth in the above-described aspect.

Drawings

FIG. 1 shows a block diagram of various subsystems of a lithography system.

Fig. 2 is a flow diagram of a method for determining a patterning device pattern corresponding to a target pattern to be printed onto a substrate according to an embodiment.

Fig. 3 illustrates a simple example of a target pattern according to an embodiment.

Fig. 4A illustrates an example CTM image corresponding to a target pattern according to an embodiment.

Fig. 4B illustrates an example of signals within the CTM image of fig. 4A according to an embodiment.

Fig. 5A illustrates an example enhanced image corresponding to the CTM image of fig. 4A, according to an embodiment.

Fig. 5B illustrates an example of a signal within the enhanced image of fig. 5A, according to an embodiment.

Fig. 6A illustrates a smoothed version of the enhanced image of fig. 5A, in accordance with an embodiment.

Fig. 6B illustrates an example initial curve pattern corresponding to fig. 6A or 5A, according to an embodiment.

FIG. 7A illustrates an optimization level set image according to an embodiment.

Fig. 7B illustrates a final curve pattern corresponding to the target pattern of fig. 3, in accordance with an embodiment.

Fig. 8A illustrates an image of the final curvilinear pattern of fig. 7B, with areas of the image filled with the pattern, in accordance with an embodiment.

Fig. 8B illustrates a profile of the curvilinear pattern of fig. 7B and the target pattern of fig. 3, in accordance with an embodiment.

Fig. 9 is a block diagram of an example computer system, according to an embodiment.

FIG. 10 is a schematic diagram of a lithographic projection apparatus according to an embodiment.

FIG. 11 is a schematic view of another lithographic projection apparatus according to an embodiment.

Fig. 12 is a more detailed view of the device in fig. 10, according to an embodiment.

Fig. 13 is a more detailed view of the source collector module SO of the apparatus of fig. 11 and 12, according to an embodiment.

Detailed Description

Although specific reference may have been made herein to the manufacture of ICs, it should be expressly understood that the description herein has many other possible applications. For example, it can be used for the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, liquid crystal display panels, thin film magnetic heads, and the like. Those skilled in the art will appreciate that any use of the terms "reticle," "wafer," or "die" herein may be considered interchangeable with the more general terms "mask," "substrate," or "target portion," respectively, in the context of such alternative applications.

In the present context, the terms "radiation" and "beam" are used to encompass all types of electromagnetic radiation, including ultraviolet radiation (e.g. having a wavelength of 365nm, 248nm, 193nm, 157nm or 126 nm) and extreme ultraviolet radiation (EUV, e.g. having a wavelength in the range of about 5-100 nm).

The patterning device can include or can form one or more design layouts. The design layout can be generated using CAD (computer aided design) programs, a process often referred to as EDA (electronic design automation). Most CAD programs follow a set of predetermined design rules in order to create a functional design layout/patterning device. These rules are set by processing and design constraints. For example, design rules define spatial tolerances between devices (such as gates, capacitors, etc.), or interconnect lines, in order to ensure that the devices or lines do not interact with each other in an undesirable manner. One or more of the design rule limitations may be referred to as "critical dimension" (CD). The critical dimension of the device can be defined as the minimum width of a line or hole, or the minimum space between two lines or two holes. Thus, the CD determines the overall size and density of the designed device. Of course, one of the goals in device fabrication is to faithfully reproduce the original design intent on the substrate (via the patterning device).

The term "mask" or "patterning device" as used herein may be broadly interpreted as referring to a generic patterning device that can be used to impart an incident radiation beam with a patterned cross-section, corresponding to a pattern to be created in a target portion of the substrate; the term "light valve" may also be used in this context. Examples of other such patterning devices, besides classical masks (transmissive or reflective; binary, phase-shift, hybrid, etc.), include:

a programmable mirror array. An example of such a device is a matrix-addressable surface having a viscoelastic control layer and a reflective surface. The basic principle behind such a device is that (for example) addressed areas of the reflective surface reflect incident radiation as diffracted radiation, whereas unaddressed areas reflect incident radiation as undiffracted radiation. With the use of appropriate filters, the non-diffracted radiation can be filtered out of the reflected beam, leaving only diffracted radiation; in this way, the beam becomes patterned according to the addressing pattern of the matrix-addressable surface. The required matrix addressing can be performed using suitable electronic means.

-a programmable LCD array. An example of such a configuration is given in U.S. Pat. No.5,229,872, which is incorporated herein by reference.

By way of brief introduction, FIG. 1 illustrates an exemplary lithographic projection apparatus 10A. The main components are as follows: a radiation source 12A, which may be a deep ultraviolet excimer laser source or other type of source including an Extreme Ultraviolet (EUV) source (as discussed above, the lithographic projection apparatus itself need not have a radiation source); illumination optics, which for example define partial coherence (denoted sigma) and which may include optics 14A, 16Aa and 16Ab that shape the radiation from the source 12A; a patterning device 18A; and transmission optics 16Ac that project an image of the patterning device pattern onto substrate plane 22A. An adjustable filter or aperture 20A at the pupil plane of the projection optics may limit the range of angles of the beam that impinges on the substrate plane 22A, with the largest possible angle defining the projection optics numerical aperture NA ═ n sin (Θ max), where n is the refractive index of the medium between the final element of the projection optics and the substrate and Θ max is the maximum angle of the beam that exits from the projection optics that can still impinge on the substrate plane 22A.

In a lithographic projection apparatus, a source provides illumination (i.e., radiation) onto a patterning device, and projection optics direct and shape the illumination onto a substrate via the patterning device. The projection optics may include at least some of the components 14A, 16Aa, 16Ab, and 16 Ac. The Aerial Image (AI) is the radiation intensity distribution at the substrate level. The resist model may be used to calculate a resist image from an aerial image, an example of which may be found in U.S. patent application publication No. us 2009-. The resist model is only related to the properties of the resist layer (e.g., the properties are, for example, the effects of chemical processes that occur during exposure, post-exposure bake (PEB), and development). The optical properties of the lithographic projection apparatus (e.g., the properties of the illumination, patterning device, and projection optics) dictate the aerial image and can be defined in an optical model. Since the patterning device used in the lithographic projection apparatus can be varied, it is desirable to separate the optical properties of the patterning device from the optical properties of the remainder of the lithographic projection apparatus, including at least the source and the projection optics. Details of techniques and models for transforming a design layout into various lithographic images (e.g., aerial images, resist images, etc.), applying OPC using those techniques and models, and evaluating performance (e.g., in terms of process window) are described in U.S. patent application publication nos. US 2008-0301620, US 2007-0050749, US 2007-0031745, US 2008-0309897, US 2010-0162197, and US2010-0180251, the disclosures of each of which are incorporated herein by reference in their entirety.

One aspect of understanding the lithographic process is understanding the interaction of radiation with the patterning device. The electromagnetic field of the radiation after passing through the patterning device may be determined from the electromagnetic field of the radiation before it reaches the patterning device and a function characterizing the interaction. This function may be referred to as the mask transmission function (which can be used to describe the interaction of the transmissive patterning device and/or the reflective patterning device).

The mask transmission function may have a variety of different forms. One form is binary. The binary mask transmission function has either of two values (e.g., zero and a positive constant) at any given location on the patterning device. A mask transmission function in binary form may be referred to as a binary mask. The other form is continuous. That is, the modulus of the transmittance (or reflectance) of the patterning device is a continuous function of the location on the patterning device. The phase of the transmittance (or reflectance) may also be a continuous function of the location on the patterning device. The mask transmission function in continuous form may be referred to as a continuous tone mask or Continuous Transmission Mask (CTM). For example, CTM may be represented as a pixilated image, where each pixel may be assigned a value between 0 and 1 (e.g., 0.1, 0.2, 0.3, etc.) instead of a binary value of 0 or 1. In an embodiment, the CTM may be a pixilated gray scale image, where each pixel has multiple values (e.g., a normalized value within the range [ -255, 255], within the range [0, 1], or [ -1, 1], or other suitable range).

Thin mask approximations, also known as Kirchhoff boundary conditions, are widely used to simplify the determination of the interaction of radiation with the patterning device. The thin mask approximation assumes that the thickness of the structures on the patterning device is very small compared to the wavelength, and the width of the structures on the mask is very large compared to the wavelength. Thus, a thin mask approximately assumes that the electromagnetic field after the patterning device is the product of the incident electromagnetic field and the mask transmission function. However, as the photolithography process uses shorter and shorter wavelength radiation and the structures on the patterning device become smaller and smaller, the assumption of a thin mask approximation can be broken. For example, due to the finite thickness of the structures (e.g., the edges between the top surface and the sidewalls), the interaction of the radiation with the structures ("mask 3D effect" or "M3D") may become significant. The inclusion of such scattering in the mask transmission function may enable the mask transmission function to better capture the interaction of radiation with the patterning device. The mask transmission function under a thin mask approximation may be referred to as the thin mask transmission function. A mask transmission function encompassing M3D may be referred to as an M3D mask transmission function.

According to embodiments of the present disclosure, one or more images may be generated. The image includes various types of signals that can be characterized by a pixel value or an intensity value of each pixel. Depending on the relative values of the pixels within the image, the signal may be referred to as, for example, a weak signal or a strong signal, as will be understood by those skilled in the art. The terms "strong" and "weak" are relative terms based on the intensity values of pixels within an image, and the particular values of the intensities may not limit the scope of the present disclosure. In an embodiment, strong and weak signals may be identified based on a selected threshold. In an embodiment, the threshold may be fixed (e.g., the midpoint of the highest intensity and the lowest intensity of a pixel within the image). In an embodiment, a strong signal may refer to a signal having a value greater than or equal to an average signal value of the entire image, and a weak signal may refer to a signal having a value lower than the average signal value. In an embodiment, the relative intensity value may be based on a percentage. For example, a weak signal may be a signal having an intensity that is less than 50% of the highest intensity of a pixel within the image (e.g., a pixel corresponding to the target pattern may be considered to be the pixel having the highest intensity). Further, each pixel within an image may be considered a variable. According to this embodiment, the derivative or partial derivative may be determined with respect to each pixel within the image, and the value of each pixel may be determined or modified according to a cost function based evaluation and/or a gradient based calculation of the cost function. For example, a CTM image may include a plurality of pixels, where each pixel is a variable that can take any real value.

Fig. 2 is a flow chart of a method 2000 for determining a patterning device pattern (or mask pattern, hereinafter) from an image (e.g., a continuous transmission mask image, a binary mask image, a curvilinear mask image, etc.) that corresponds to a target pattern to be printed on a substrate via a patterning process involving a lithographic process. In embodiments, the design layout or target pattern may be a binary design layout, a continuous tone design layout, or another suitable form of design layout.

Method 2000 is an iterative process in which an initial image (e.g., an enhanced image, a level set image initialized from a CTM image, etc.) is progressively modified to produce different types of images according to different processes of the present disclosure to ultimately produce information for a mask pattern or image (e.g., a level set image corresponding to a final curvilinear mask) that is further used to fabricate/fabricate a mask. The iterative modification of the initial image may be based on a cost function, wherein during the iteration the initial image may be modified such that the cost function is reduced, in embodiments minimized. In an embodiment, the method 2000 may also be referred to as a CTM + process, where the initial image is an optimized CTM image that is further processed according to the present disclosure to generate a curvilinear mask pattern (e.g., a curvilinear mask or a geometric or polygonal representation of a curvilinear pattern). In an embodiment, the initial image may be an enhanced image of the CTM image. The curvilinear mask pattern may be in the form of a vector, table, mathematical equation, or other form representing a geometric/polygonal shape.

In an embodiment, process P201 may involve obtaining an initial image (e.g., a CTM image or an optimized CTM image, or a binary mask image). In an embodiment, the initial image 2001 may be a CTM image generated by a CTM generation process based on a target pattern to be printed on a substrate. The CTM picture may then be received by process P201. In an embodiment, process P201 may be configured to generate a CTM image. For example, in CTM generation technology, the inverse lithography problem is explained as an optimization problem. The variables relate to the values of pixels in the mask image, such as EPE or lithography metrology of sidelobe printing used as a cost function. In an iteration of the optimization, a mask image is constructed from the variables, then a process model (e.g., a Tachyon model) is applied to obtain an optical or resist image, and a cost function is calculated. The cost calculation then gives the gradient values that are used in the optimization solver to update the variables (e.g., pixel intensities). After several iterations during the optimization, a final mask image is generated that is further used as a guide map for pattern extraction (e.g., as implemented in Tachyon iOPC and SMO software). Such an initial image (e.g., CTM image) may include one or more features (e.g., features of a target pattern, SRAFs, serifs, etc.) corresponding to the target pattern to be printed on the substrate via the patterning process.

In an embodiment, the CTM picture (or an enhanced version of the CTM picture) may be used to initialize a level set picture (or a level set function) that can be used as the initial picture 2001, which initial picture 2001 is iteratively modified as discussed below.

In an embodiment, FIG. 3 illustrates a simple example of a target pattern 300, the target pattern 300 including contact holes 301 and 302 positioned at different locations on a substrate. Typically, the target pattern is much more complex, including hundreds, thousands, or even millions of features of different sizes and shapes positioned relatively close to each other. For such a target pattern 300, a CTM image may be generated/obtained.

Fig. 4A is an example CTM image 400 (an example of an initial image 2001) corresponding to the target pattern 300. The CTM image 400 includes a feature 402 corresponding to the target feature (i.e., contact hole 302) and additional features (e.g., SRAF404) surrounding the feature 402. In embodiments, the assist feature (e.g., SRAF) may be referred to as first order, second order, third order, etc., depending on its distance from the target feature. For example, the SRAFs may be referred to as first-order SRAFs (i.e., closest to the target pattern), second-order SRAFs located after the first-order SRAFs, third-order SRAFs located outside the second-order SRAFs, and so on. In an embodiment, the first, second, and third order SRAFs may be characterized by pixel values. In an embodiment, SRAFs that are close to the target may have higher intensities, and the intensities gradually decrease as the SRAFs are positioned further from the target pattern. In other words, within a CTM image, features with progressively lower pixel values or weaker signals (e.g., SRAFs) may be observed. According to an embodiment, as an example, away from the target pattern, a white area may indicate no signal (no intensity) and a black area (or dark area) may indicate "signal" (with a certain intensity). For example, dark areas of the CTM image 400 indicate strong signals, and white or gray areas indicate relatively weak signals. For example, SRAF404 (an example of a first order SRAF) may have relatively higher pixel values (or stronger signals) than SRAF 406 (an example of a second order SRAF). Beyond SRAF 406, the signal is further attenuated and may be ignored, i.e., indicate that no SRAF or other features may be included in such an area. An example of a signal along line 412 passing through contact hole 402 is illustrated in fig. 4B. In fig. 4B, signal 450 may be considered strong (having a large amplitude, relative to, for example, 100, or having a large change in amplitude) to, for example, 350nm, and signal 450 may gradually fade (a relatively small change in amplitude) after 350 nm.

In an embodiment, if the feature is within a target pattern, e.g., within a relatively large target polygon, white may indicate a signal (e.g., to place a SRIF), while black may indicate no signal.

Further, process P201 may involve generating an enhanced image 2002 based on the initial image 2001. The enhanced image 2002 may be an image in which certain selected pixels within the initial image 2001 are enlarged. The selected pixels may be pixels within the initial image 2001 that have a relatively low value (or weak signal). In an embodiment, the selected pixels are pixels whose signal values are below, for example, an average intensity of the pixels throughout the initial image or a given threshold. In other words, pixels within the initial image 2001 that have weaker signals are enlarged, thus enhancing one or more features within the initial image 2001. For example, a second order SRAF surrounding the target feature may have a weak signal that may be amplified. Thus, the enhanced image 2002 may highlight or identify additional features (or structures) that may be included within the mask image (generated later in the method). In a conventional method of determining a mask image (e.g., the CTM method), a weak signal within the initial image may be ignored, and thus the mask image may not include a feature that may be formed by the weak signal in the initial image 2001.

The generation of the enhanced image 2002 involves applying image processing operations such as filters (e.g., edge detection filters) to amplify weak signals within the initial image 2001. Alternatively or additionally, the image processing operation may be deblurring, averaging, and/or feature extraction or other similar operations. Examples of edge detection filters include the Prewitt operator, laplacian of gaussian (LoG) filter, and the like. The generating step may further involve combining the amplified signal of the initial image 2001 with the original signal of the initial image 2001 with or without modifying the original strong signal of the initial image 2001. For example, in an embodiment, the original signal may be relatively strong (e.g., above a certain threshold, such as 150, or below-50) for one or more pixel values at one or more locations (e.g., at contact holes) across the initial image 2001, and the original signal at one or more locations (e.g., at contact holes) may not be modified or combined with the amplified signal for that location.

In an embodiment, noise (e.g., random variations in brightness or color or pixel values) in the initial image 2001 may also be amplified. Thus, alternatively or additionally, a smoothing process may be applied to reduce noise (e.g., random variations in brightness or color or pixel values) in the images being combined. Examples of image smoothing methods include gaussian blurring, moving average, low pass filter, and the like.

In an embodiment, the enhanced image 2002 may be generated using an edge detection filter. For example, an edge detection filter may be applied to the initial image 2001 to produce a filtered image that highlights edges of one or more features within the initial image 2001. The resulting filtered image may be further combined with the original image (i.e., the original image 2001) to produce an enhanced image 2002. In an embodiment, the combination of the initial image 2001 with the image obtained after edge filtering may involve modifying only those portions of the initial image 2001 with weak signals without modifying the regions with strong signals, which combining process may be weighted based on signal strength. In an embodiment, amplifying weak signals may also amplify noise within the filtered image. Thus, according to an embodiment, a smoothing process may be performed on the combined images. Smoothing of an image may refer to an approximation function that attempts to capture important patterns in the image (e.g., target patterns, SRAFs) while sparing noise or other fine-scale structures/fast phenomena. In smoothing, the data points of the signal may be modified so that individual points (presumably due to noise) may be reduced and points that may be lower than adjacent points may be increased resulting in a smoother signal or smoother image. Thus, after the smoothing operation, a further smoothed version of the enhanced image 2002 with reduced noise may be obtained in accordance with embodiments of the present disclosure.

Fig. 5A illustrates an example enhanced image 500 (an example of an enhanced image 2002) generated from an initial CTM image 400. In the image 500, several features of the initial CTM image 400 are enhanced. For example, the contact hole 502 and the first SRAF 504 are more pronounced (e.g., in intensity and edge sharpness) than the holes 406 and the SRAFs 404 in the initial CTM image 400. More importantly, second or higher order SRAFs 506, 508, and/or 510 located relatively farther away from contact aperture 502 and from first SRAF 504 have greater intensity (or stronger signal) than the intensity seen in initial image 400. An example signal 550 along line 512 (corresponding to line 412 in the initial image 400) through contact hole 502 is illustrated in fig. 5B. In fig. 5B, signal 550 may be stronger than signal 450. Signal 550 has a relatively large amplitude (or change in amplitude), e.g., signal 550 is relatively stronger than the intensity seen in signal 450.

In an embodiment, the enhanced image 500 may be further smoothed using a smoothing function as discussed earlier to produce a smoother version of the enhanced image 500. For example, FIG. 6A illustrates a smoothed enhanced image 600 generated from the enhanced image 500.

Additionally, the method may involve generating a level set image 2003 based on the enhanced image 2002 in process P203. In the first iteration, the enhanced image 2002 may be used to initialize the level set image 2003. In subsequent iterations, level set image 2003 is iteratively updated.

The level set of the real-valued function f of the n real variables is a set having the form:

Lc(f)={(x1,x2,...xn)|f(x1,x2,...xn)=c}

in two-dimensional space, the set defines all the points of the surface for which the function f is equal to a given value c, the level set Lc(f) Typically a curve, a contour (e.g., a curvilinear shape), or a contour called a horizontal curve. In two-dimensional space, the level set function f passesIs indicated, theRefers to level set images.

In the above equation, f refers to the mask weighting, such as the intensity of each pixel, that determines where the curve mask edge exists at a given constant value c (e.g., a threshold plane as discussed in process P205 below).

In an embodiment, at an iteration, generation of the level set image 2003 may involve modifying one or more values of the quantity (e.g., pixel values at one or more sites) within the enhanced image 2002 based on, for example, initialization conditions or a gradient map (which may be generated later in the method). For example, one or more pixel values may be increased or decreased. In other words, the amplitude of one or more signals within the enhanced image 2002 may be increased or decreased. This modified amplitude of the signal enables the generation of different curve patterns according to the amount of change in the amplitude of the signal (e.g., signal 550). Thus, the curve pattern gradually evolves until the cost function is reduced, in embodiments minimized. In an embodiment, further smoothing may be performed on the level set image 2003.

Further, process P205 involves generating a curvilinear mask pattern 2005 (e.g., having a polygonal shape represented in vector form) based on the level set image 2003. The generation of the curved mask pattern 2005 may involve thresholding of the horizontal set image 2003 to delineate or generate a curved (or bent) pattern from the horizontal set image 2003. For example, the threshold setting may be performed using a threshold plane (e.g., x-y plane) having a fixed value, which intersects the signals of the level set image 2003. The intersection of the threshold plane with the signal of the level set image 2003 results in traces or contours (i.e., curved polygon shapes) that form the polygon shapes of the curved pattern that serves as the curved mask pattern 2005. For example, level set image 2003 may intersect a zero plane that is parallel to the (x, y) plane. Accordingly, the curvilinear mask pattern 2005 may be any curvilinear pattern produced as described above. In an embodiment, the curve pattern depicted or generated from the level set image 2003 depends on the signal of the enhanced image 2002. For example, if the signal of the second order SRAF 506 (or 606) is not present in the enhanced image 2002, the curved pattern may not produce a polygonal shape corresponding to such SRAF 506 (or 606). Thus, the image enhancement process P203 facilitates improvement of the pattern generated for the final curvilinear mask pattern. The final curvilinear mask pattern may be further used by a mask manufacturer to make a mask for use in a lithographic process.

Fig. 6A and 6B illustrate an example of an initial level set image 600 (an example of a level set image 2003) obtained from an enhanced image 500 and/or an iterative update to the initial image. Contours of different patterns, including the target pattern and the SRAF, are generated from the level set image 600 (e.g., using the thresholding process of P205). For example, the level set image 600 may include a contour 652 corresponding to the target pattern (i.e., contact hole 302), a first SRAF contour 634 surrounding the contour 652, and other second or third order SRAF contours such as 631, 632, 635, 637, and 636, each such SRAF corresponding to a signal in the level set image 600 and/or in the enhanced image 500.

Further, process P207 may involve rendering a curvilinear mask pattern 2005 to produce a mask image 2007. Rendering is a standard operation performed on a curved mask pattern, which is a similar process to converting a rectangular mask polygon into a discrete grayscale image representation. This process can be understood as sampling a box function (box function) of consecutive coordinates (polygons) into a value at each point of an image pixel.

The method further involves forward simulation of the patterning process using a process model that generates or predicts a pattern 2009, which may be printed on the substrate based on the mask image 2007. For example, process P209 may involve performing and/or simulating a process model using mask image 2007 as input, and generating a process image 2009 (e.g., aerial image, resist image, etch image, etc.) on the substrate. In an embodiment, the process model may include a mask transmission model coupled to an optics model, the optics model further coupled to a resist model and/or an etch model. The output of the process model may be a process image 2009 factoring in different process variations during the simulation process. The process image may be further used to determine parameters of the patterning process (e.g., EPE, CD, overlay, sidelobes, etc.) by, for example, outlining patterns within the process image. The parameters may further be used to define a cost function that is further used to optimize the mask image 2007 such that the cost function is reduced, or in embodiments minimized.

In process P211, a cost function may be evaluated based on process model image 2009 (also referred to as a simulated substrate image or wafer image). Thus, the cost function may be considered process-aware where the patterning process varies, enabling the generation of a curvilinear mask pattern that accounts for the variation of the patterning process. For example, the cost function may be Edge Placement Error (EPE), sidelobes, Mean Square Error (MSE), or other suitable variables defined based on the contours of the pattern in the process image. The EPE may be a sum of edge placement errors associated with one or more patterns and/or all edge placement errors associated with all patterns of process model image 2009 and the corresponding target pattern. In an embodiment, the cost function may include more than one condition that may be reduced or minimized simultaneously. For example, in addition to MRC violation probability, the number of defects, EPE, overlap, CD, or other parameters may be included, and all conditions may be reduced (or minimized) simultaneously.

Further, one or more gradient maps (discussed later) may be generated based on a cost function (e.g., EPE), and mask variables may be modified based on such gradient maps. Mask Variable (MV) refers to level set image

Figure BDA0002688823840000161

The strength of (2). Thus, the gradient calculation can be expressed asThe gradient values are updated to a level set image by capturing the inverse mathematical relationship from the Mask Image (MI) to the curved mask polygons. Thus, a derivative chain of the cost function with respect to the mask image may be derived from the maskImage to curved mask polygons and from curved mask polygons to level set images, which allows the values of the mask variables at the level set images to be modified.

In embodiments, image regularization may be added to reduce the complexity of the mask pattern that may be generated. Such image regularization may be Mask Rule Checking (MRC). MRC refers to the limitations of a mask manufacturing process or equipment. Thus, the cost function may include different components, e.g., based on EPE and MRC violation penalties. The penalty may be a term of the cost function that depends on a violation, such as a difference between the mask measurement and a given MRC or mask parameter (e.g., mask pattern width and an allowed (e.g., minimum or maximum) mask pattern width). Thus, in accordance with embodiments of the present disclosure, a mask pattern may be designed and a corresponding mask may be fabricated based not only on a forward simulation of the patterning process, but also based on the manufacturing limitations of the mask manufacturing equipment/process. Thus, a manufacturable curvilinear mask can be obtained that yields high yield (i.e., minimal defects) and high accuracy in terms of, for example, EPE or overlay printed on the pattern.

Ideally, the pattern corresponding to the process image should be exactly the same as the target pattern, however, such an exact target pattern may not be feasible (e.g., typically sharp corners), and some conflicts are introduced due to variations in the patterning process itself and/or approximations in the model of the patterning process.

In the first iteration of the method, mask image 2007 may not produce a pattern (in the resist image) similar to the target pattern (e.g., target pattern 300). The determination of the accuracy or acceptance of the pattern printed in the resist image (or etch image) may be based on a cost function such as EPE. For example, if the EPE of the resist pattern is high, which indicates that the pattern printed using mask image 2007 is unacceptable, the pattern in level set image 2003 must be modified.

To determine whether the mask image 2007 is acceptable, process P213 may involve determining whether the cost function is reduced or minimized, or whether a given number of iterations is reached. For example, the EPE value of the previous iteration may be compared to the EPE value of the current iteration to determine whether the EPE has been reduced, minimized, or converged (i.e., no substantial improvement in the printed pattern is observed). When the cost function is minimized, the method may be stopped and the resulting curvilinear mask pattern information is considered as an optimization result.

However, if the cost function is not reduced or minimized, then the mask-related variables or enhanced image-related variables (e.g., pixel values) may be updated. In an embodiment, the update may be based on a gradient-based approach. For example, if the cost function is not reduced, the method 2000 continues with the next iteration of generating the mask image after performing processes P215 and P217, which indicates how to further modify the variables of the level set image 2003 or the level set image (of process P203).

Process P215 may involve generating a gradient map 2015 based on a cost function. The gradient map may be a derivative and/or partial derivative of the cost function. In an embodiment, the partial derivatives of the cost function may be determined using individual pixels of the mask image, and the derivatives may be further linked to determine the partial derivatives with respect to the variables of the level set image 2003. Such gradient calculations may involve determining an inverse relationship between the mask image 2007 and the level set image 2003. Furthermore, the inverse relationship of any smoothing operations (or functions) performed in processes P205 and P203 must be considered.

The gradient map 2015 may provide recommendations for increasing or decreasing values of mask variables (i.e., values of the level set image or CTM image) in such a way that the values of the cost function are reduced (minimized in an embodiment). In an embodiment, an optimization algorithm may be applied to the gradient map 2015 to determine values of mask variables. In an embodiment, an optimization solver (e.g., a second order solver, bloeden-feliseund-high farb-shannon (Broyden-Fletcher-golden farb-Shanno), BFGS) may be used to perform the gradient-based calculation (in process P217).

In an embodiment, for an iteration, the variables of the level set image may be changed while the threshold plane may remain fixed or unchanged to gradually reduce or minimize the cost function. Thus, the generated curve pattern may evolve gradually during the iteration such that the cost function is reduced, or in embodiments minimized. In another embodiment, both the variables of the level set image and the threshold plane may be changed to achieve faster convergence of the optimization process. The final set of CTM + results (i.e., the enhanced image, the level set image, or the modified version of the curve mask) may result after several iterations and/or minimizations of the cost function. For example, FIG. 7A illustrates a corresponding image representation (in FIG. 7B) of the final level set image 700 and the curvilinear mask pattern 750, which representation includes polygonal shapes, e.g., SRAFs 731, 725, and 706, which are the result of progressive deformation of the SRAFs in the initial enhanced image 500 or the initial level set image 600.

In embodiments of the present disclosure, the transition from CTM optimization with grayscale images to CTM + optimization with curvilinear masks may be simplified by replacing the level set process and the thresholding process (i.e., P203 and P205) with a different process at which an S-type transform is applied to the enhanced image 2002 and a corresponding change in gradient computation is performed. The S-type transformation of the enhanced image 2002 produces a transformed image that gradually develops into a curvilinear pattern during an optimization process (e.g., minimizing a cost function). During the iteration or optimization step, variables (e.g., steepness and/or threshold) associated with the sigmoid function may be modified based on the gradient calculations. As the S-type transform becomes steeper (e.g., the steepness of the slope of the S-type transform increases) during successive iterations, a gradual transition from the CTM image to the final CTM + image may be achieved, allowing for improved results of the final CTM + optimization with a curvilinear mask pattern.

In embodiments of the present disclosure, additional steps/processes may be inserted into the loop of the iteration of the optimization to enhance the results to have selected or desired properties. For example, smoothness may be ensured by adding a smoothing step, or other filters may be used to enhance the image to favor horizontal/vertical structures.

The method of the invention has several features or aspects. For example, the CTM mask image optimized with an image enhancement method is used to refine the signal, which is further used as a seed in the optimization procedure. In another aspect, the generation of the curvilinear mask pattern is achieved with the use of a level set method of CTM technology (referred to as CTM +). In yet another aspect, the complete formula for gradient computation (i.e., the closed loop formula) allows the use of a hansen (Hessian) (2 nd order) based solver for level set optimization. The CTM + results can be used as a local solution (as hot spot repair) or as a full chip solution. The CTM + result can be used as input together with machine learning, which also allows the possibility of using machine learning for speeding up CTM +. In yet another aspect, the method includes an image regularization method to improve results. In another aspect, the method involves successive optimization stages to achieve a smoother transition from the grayscale image CTM to the binary curve mask CTM +. The method allows tuning the optimized threshold to improve the results. The method includes additional transformations to the optimized iterations to enhance the good properties of the result (requiring smoothness in the CTM + image).

As the lithography node remains contracted, it requires more and more complex masks. With recent breakthroughs in multi-electron beam writers (via IMS), it is believed that at least a fully curvilinear mask according to the present disclosure may be used in critical layers utilizing DUV scanners, EUV scanners, and/or other scanners.

Methods according to the present disclosure may be included in different aspects of a mask optimization process including source mask optimization, and/or OPC and appropriate curvilinear mask patterns may be determined.

Fig. 9 is a block diagram illustrating a computer system 100 capable of facilitating implementation of the methods, procedures or devices disclosed herein. Computer system 100 includes a bus 102 or other communication mechanism for communicating information, and a processor 104 (or multiple processors 104 and 105) coupled with bus 102 for processing information. Computer system 100 also includes a main memory 106, such as a Random Access Memory (RAM) or other dynamic storage device, coupled to bus 102 for storing information and instructions to be executed by processor 104. Main memory 106 also may be used for storing temporary variables or other intermediate information during execution of instructions to be executed by processor 104. Computer system 100 also includes a Read Only Memory (ROM)108 or other static storage device coupled to bus 102 for storing static information and instructions for processor 104. A storage device 110, such as a magnetic disk or optical disk, is provided and coupled to bus 102 for storing information and instructions.

Computer system 100 may be coupled via bus 102 to a display 112, such as a Cathode Ray Tube (CRT) or flat panel display or touch panel display, for displaying information to a computer user. An input device 114, including alphanumeric and other keys, is coupled to bus 102 for communicating information and command selections to processor 104. Another type of user input device is cursor control 116, such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to processor 104 and for controlling cursor movement on display 112. Such input devices typically have two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), which allows the device to specify positions in a plane. Touch panel (screen) displays may also be used as input devices.

According to one embodiment, portions of one or more methods described herein may be performed by computer system 100 in response to processor 104 executing one or more sequences of one or more instructions contained in main memory 106. Such instructions may be read into main memory 106 from another computer-readable medium, such as storage device 110. Execution of the sequences of instructions contained in main memory 106 causes processor 104 to perform the process steps described herein. One or more processors in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in main memory 106. In alternative embodiments, hard-wired circuitry may be used in place of or in combination with software instructions. Thus, the description herein is not limited to any specific combination of hardware circuitry and software.

The term "computer-readable medium" as used herein refers to any medium that participates in providing instructions to processor 104 for execution. Such a medium may take many forms, including but not limited to, non-volatile media, and transmission media. Non-volatile media includes, for example, optical or magnetic disks, such as storage device 110. Volatile media includes dynamic memory, such as main memory 106. Transmission media includes coaxial cables, copper wire and fiber optics, including the wires that comprise bus 102. Transmission media can also take the form of acoustic or light waves, such as those generated during Radio Frequency (RF) and Infrared (IR) data communications. Common forms of computer-readable media include, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, a carrier wave as described hereinafter, or any other medium from which a computer can read.

Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to processor 104 for execution. For example, the instructions may initially be carried on a magnetic disk of a remote computer. The remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem. A modem local to computer system 100 can receive the data on the telephone line and use an infra-red transmitter to convert the data to an infra-red signal. An infrared detector coupled to bus 102 can receive the data carried in the infrared signal and place the data on bus 102. The bus 102 transfers data to the main memory 106, and the processor 104 retrieves and executes instructions from the main memory 106. The instructions received by main memory 106 may optionally be stored on storage device 110 either before or after execution by processor 104.

Computer system 100 may also include a communication interface 118 coupled to bus 102. Communication interface 118 provides a two-way data communication coupling to a network link 120 that is connected to a local network 122. For example, communication interface 118 may be an Integrated Services Digital Network (ISDN) card or a modem to provide a data communication connection to a corresponding type of telephone line. As another example, communication interface 118 may be a Local Area Network (LAN) card to provide a data communication connection to a compatible LAN. Wireless links may also be implemented. In any such implementation, communication interface 118 sends and receives electrical, electromagnetic or optical signals that carry digital data streams representing various types of information.

Network link 120 typically provides data communication through one or more networks to other data devices. For example, network link 120 may provide a connection through local network 122 to a host computer 124 or to data equipment operated by an Internet Service Provider (ISP) 126. ISP 126 in turn provides data communication services through the global packet data communication network now commonly referred to as the "internet" 128. Local network 122 and internet 128 both use electrical, electromagnetic or optical signals that carry digital data streams. The signals through the various networks and the signals on network link 120 and through communication interface 118, which carry the digital data to and from computer system 100, are exemplary forms of carrier waves transporting the information.

Computer system 100 can send messages and receive data, including program code, through the network(s), network link 120 and communication interface 118. In the Internet example, a server 130 might transmit a requested code for an application program through Internet 128, ISP 126, local network 122 and communication interface 118. For example, one such downloaded application may provide all or part of the methods described herein. The received code may be executed by processor 104 as it is received, and/or stored in storage device 110, or other non-volatile storage for later execution. In this manner, computer system 100 may obtain application code in the form of a carrier wave.

FIG. 10 schematically depicts an exemplary lithographic projection apparatus capable of using the techniques described herein in conjunction. The apparatus comprises:

an illumination system IL for conditioning the radiation beam B. In this particular case, the illumination system further comprises a radiation source SO;

a first object table (e.g. a patterning device table) MT having a patterning device holder for holding a patterning device MA (e.g. a reticle) and connected to a first positioner for accurately positioning the patterning device with respect to the object PS;

A second object table (substrate table) WT having a substrate holder for holding a substrate W (e.g. a resist-coated silicon wafer) and connected to a second positioner for accurately positioning the substrate with respect to the object PS;

a projection system ("lens") PS (e.g., a refractive, reflective, or catadioptric optical system) for imaging an irradiated portion of patterning device MA onto a target portion C (e.g., comprising one or more dies) of substrate W.

As depicted herein, the apparatus is of a transmissive type (i.e., has a transmissive patterning device). However, in general, it may also be of a reflective type (e.g. employing a reflective patterning device). The apparatus may employ a different kind of patterning device to that used for classical masks; examples include a programmable mirror array or an LCD matrix.

A source SO (e.g., a mercury lamp or excimer laser, Laser Produced Plasma (LPP) EUV source) produces a beam of radiation. This beam is fed into an illumination system (illuminator) IL, either directly or after having traversed conditioning means such as a beam expander Ex, for example. The illuminator IL may comprise an adjusting device AD for setting the outer radial extent and/or the inner radial extent (commonly referred to as σ -outer and σ -inner, respectively) of the intensity distribution in the beam. IN addition, the illuminator IL will generally comprise various other components, such as an integrator IN and a condenser CO. In this way, the beam B impinging on the patterning device MA has a desired uniformity and intensity distribution in its cross-section.

With respect to FIG. 10, it should be noted that, although the source SO may be within the housing of the lithographic projection apparatus (as is often the case, for example, when the source SO is a mercury lamp), it may also be remote from the lithographic projection apparatus, the radiation beam which it produces being directed into the apparatus (for example, by means of suitable directing mirrors); the latter situation is often the case when the source SO is an excimer laser (e.g., based on KrF, ArF or F)2Laser light).

The beam PB then intercepts the patterning device MA, which is held on the patterning device table MT. Having traversed the patterning device MA, the beam B passes through the lens PL, which focuses the beam B onto a target portion C of the substrate W. With the aid of the second positioning device (and interferometric measuring device IF), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the beam PB. Similarly, the first positioning device can be used to accurately position the patterning device MA with respect to the path of the beam B, e.g., after mechanical retrieval of the patterning device MA from a library of patterning devices, or during a scan. In general, movement of the object tables MT, WT will be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which are not explicitly depicted in FIG. 10. However, in the case of a stepper (as opposed to a step-and-scan tool) the patterning device table MT may be connected to a short-stroke actuator only, or may be fixed.

The depicted tool can be used in two different modes:

in step mode, the patterning device table MT is kept essentially stationary, and an entire patterning device image is projected (i.e. a single "flash") onto the target portion C in one go. The substrate table WT is then shifted in the x and/or y direction so that a different target portion C can be irradiated by the beam PB.

In scan mode, basically the same applies, except that a given target portion C is not exposed in a single "flash". Alternatively, the patterning device table MT can be moved in a given direction (the so-called "scan direction", e.g. the y direction) at a rate v, so that the projection beam B scans over the patterning device image; at the same time, the substrate table WT is moved simultaneously in the same or opposite direction at a rate V Mv, where M is the magnification of the lens PL (typically M1/4 or 1/5). In this way, a relatively large target portion C can be exposed without having to compromise on resolution.

FIG. 11 schematically depicts another exemplary lithographic projection apparatus 1000 in which the techniques described herein can be used in combination.

The lithographic projection apparatus 1000 includes:

-a source collector module SO;

an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. EUV radiation);

a support structure (e.g. a patterning device table) MT constructed to support a patterning device (e.g. a mask or reticle) MA and connected to a first positioner PM configured to accurately position the patterning device;

a substrate table (e.g. a wafer table) WT constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate; and

a projection system (e.g. a reflective projection system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.

As depicted herein, the apparatus 1000 is of a reflective type (e.g., employing a reflective patterning device). It should be noted that since most materials are absorptive in the EUV wavelength range, the patterning device may have a multilayer reflector comprising a multi-stack of, for example, molybdenum and silicon. In one example, the multi-stack reflector has 40 layer pairs of molybdenum and silicon, where each layer is a quarter wavelength thick. Even smaller wavelengths can be produced using X-ray lithography. Since most materials are absorptive at EUV and x-ray wavelengths, a thin sheet of patterned absorptive material (e.g., a TaN absorber on top of a multilayer reflector) on the patterning device topography or topology defines where features will be printed (positive resist) or not printed (negative resist).

Referring to fig. 11, the illuminator IL receives an euv radiation beam from a source collector module SO. Methods for generating EUV radiation include, but are not necessarily limited to, converting a material into a plasma state, the material having at least one element (e.g., xenon, lithium, or tin) having one or more emission lines in the EUV range. In a process commonly referred to as laser produced plasma ("LPP")In such a method, plasma may be generated by irradiating a fuel, such as droplets, streams or clusters of a material having a line emitting element, with a laser beam. The source collector module SO may be part of an EUV radiation system comprising a laser (not shown in fig. 11) for providing a laser beam for exciting the fuel. The resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector disposed within the source collector module. The laser and the source collector module may be separate entities, for example when using CO2When the laser provides a laser beam for fuel excitation.

In such cases, the laser is not considered to form part of the lithographic apparatus and the radiation beam is passed from the laser to the source collector module by means of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander. In other cases, the source may be an integral part of the source collector module, for example, when the source is a discharge produced plasma EUV generator (commonly referred to as a DPP source).

The illuminator IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least an outer radial extent and/or an inner radial extent (commonly referred to as σ -outer and σ -inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may include various other components, such as a faceted field mirror arrangement and a pupil mirror arrangement. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section.

The radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., patterning device table) MT, and is patterned by the patterning device. Having been reflected by the patterning device (e.g., mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor PS1 can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B. Patterning device (e.g. mask) MA and substrate W may be aligned using patterning device alignment marks M1, M2 and substrate alignment marks P1, P2.

The depicted apparatus 1000 can be used in at least one of the following modes:

1. in step mode, the support structure (e.g. patterning device table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.

2. In scan mode, the support structure (e.g. patterning device table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the support structure (e.g. patterning device table) MT may be determined by the (de-) magnification and image reversal characteristics of the projection system PS.

3. In another mode, a support structure (e.g. a patterning device table) MT is kept essentially stationary holding a programmable patterning device, and a pattern imparted to the radiation beam is projected onto a target portion C while the substrate table WT is moved or scanned. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.

Fig. 12 shows the apparatus 1000 in more detail, comprising the source collector module SO, the illumination system IL and the projection system PS. The source collector module SO is constructed and arranged to be able to maintain a vacuum environment in the enclosure 220 of the source collector module SO. The EUV radiation-emitting plasma 210 may be formed by a discharge-generating plasma source. EUV radiation may be produced by a gas or vapor, such as xenon, lithium vapor, or tin vapor, in which an extremely hot plasma 210 is produced to emit radiation in the EUV range of the electromagnetic spectrum. For example, the very hot plasma 210 is generated by causing a discharge of the at least partially ionized plasma. For efficient generation of radiation, Xe, Li, Sn vapor or any other suitable gas or vapor may be required, for example, at a partial pressure of 10 Pa. In an embodiment, an excited tin (Sn) plasma is provided to generate EUV radiation.

Radiation emitted by the thermal plasma 210 is transferred from the source chamber 211 into the collector chamber 212 via an optional gas barrier or contaminant trap 230 (also referred to as a contaminant barrier or foil trap in some cases) positioned in or behind an opening in the source chamber 211. Contaminant trap 230 may include a channel structure. The contaminant trap 230 may also include a gas barrier, or a combination of a gas barrier and a channel structure. As is known in the art, the contaminant trap or contaminant barrier 230 further illustrated herein includes at least a channel structure.

The collector chamber 211 may comprise a radiation collector CO which may be a so-called grazing incidence collector. The radiation collector CO has an upstream radiation collector side 251 and a downstream radiation collector side 252. Radiation traversing the collector CO may be reflected by the grating spectral filter 240 and then focused at the virtual source point IF along the optical axis indicated by the dash-dot line 'O'. The virtual source point IF is often referred to as an intermediate focus, and the source collector module is arranged such that the intermediate focus IF is located at or near the opening 221 in the enclosure 220. The virtual source point IF is an image of the radiation-emitting plasma 210.

The radiation then traverses an illumination system IL, which may comprise a faceted field mirror device 22 and a faceted pupil mirror device 24, the faceted field mirror device 22 and the faceted pupil mirror device 24 being arranged to provide a desired angular distribution of the radiation beam 21 at the patterning device MA, and a desired uniformity of the radiation intensity at the patterning device MA. After the radiation beam 21 is reflected at the patterning device MA, which is held by the support structure MT, a patterned beam 26 is formed and the patterned beam 26 is imaged by the projection system PS via reflective elements 28, 30 onto a substrate W held by the substrate table WT.

There may typically be more elements in the illumination optics unit IL and projection system PS than shown. Depending on the type of lithographic apparatus, a grating spectral filter 240 may optionally be present. Furthermore, there may be more mirrors than those shown in the figures, for example 1-6 additional reflective elements than those shown in FIG. 12 may be present in the projection system PS.

Collector optic CO as illustrated in fig. 12 is depicted as a nested collector with grazing incidence reflectors 253, 254, and 255, merely as an example of a collector (or collector mirror). Grazing incidence reflectors 253, 254 and 255 are arranged axisymmetrically about optical axis O and collector optics CO of this type can be used in combination with a discharge-producing plasma source often referred to as a DPP source.

Alternatively, the source collector module SO may be part of the LPP radiation system as shown in fig. 13. The laser LA is arranged to deposit laser energy into a fuel such as xenon (Xe), tin (Sn) or lithium (Li) to produce a highly ionized plasma 210 with electron temperatures of tens of electron volts. Energetic radiation generated during de-excitation and recombination of these ions is emitted from the plasma, collected by the near normal incidence collector optics CO, and focused onto the opening 221 in the enclosure 220.

The present embodiment can also be described using the following aspects:

1. a method for determining a curve pattern for a patterning device, the method comprising:

obtaining: (i) an initial image of the patterning device corresponding to a target pattern to be printed on a substrate subjected to a patterning process; and (ii) a process model configured to predict a pattern on the substrate from the initial image;

generating, by a hardware computer system, an enhanced image from the initial image;

generating, by the hardware computer system, a level set image using the enhanced image; and

iteratively determining, by the hardware computer system, a curve pattern of the patterning device based on the level set image, the process model, and a cost function, wherein the cost function determines a difference between the predicted pattern and the target pattern, wherein the difference is iteratively reduced.

2. The method of aspect 1, wherein the generating an enhanced image comprises:

selecting pixels having a relatively lower intensity compared to the average intensity of the pixels of the entire initial image;

magnifying the intensity of the selected pixels of the initial image; and

Combining the intensities of the selected pixels with the intensities of the pixels in the initial image to generate the enhanced image.

3. The method of any of aspects 1-2, wherein magnifying the intensity of the pixels of the initial image is based on an image processing operation that includes an edge detection filter.

4. The method of any of aspects 2-3, wherein the selected pixels correspond to first, second, third, and/or fourth order sub-resolution assist features, wherein the second order sub-resolution assist features are assist features that are farther away from features corresponding to the target pattern than the first order sub-resolution features.

5. The method of any of aspects 1-4, wherein the generating an enhanced image further comprises:

filtering noise from the enhanced image; and

performing a smoothing operation on the enhanced image.

6. The method of any of aspects 1-5, wherein the generating the curvilinear pattern further comprises:

transforming the enhanced image into a level set image.

7. The method of any of aspects 1-6, wherein determining the iteration of the curve pattern comprises:

modifying mask variables corresponding to the level set image;

A contour depicting a threshold plane intersecting the level set image, the contour corresponding to the curvilinear pattern;

determining a gradient of the cost function; and

optimizing values of mask variables of the level set image based on a gradient of the cost function such that the cost function is reduced.

8. The method of any of aspects 1-7, wherein the cost function is minimized.

9. The method of aspect 8, wherein the cost function includes edge placement error, sidelobe printing penalty, and/or mask rule check violation penalty.

10. The method of any of aspects 1-5, further comprising:

performing, by the hardware computer system, a patterning step using a curvilinear mask to print a pattern on the substrate via a patterning process.

11. The method of any of aspects 1-10, wherein the initial image is a continuous transmission mask image comprising features and assist features corresponding to the target pattern.

12. The method of any of aspects 1-11, further comprising:

fabricating the patterning device to include structural features corresponding to the curvilinear pattern.

13. The method of aspect 12, wherein the structural feature corresponds to an optical proximity correction, the optical proximity correction including an assist feature and/or a contour modification.

14. The method of aspect 12, further comprising transferring the curvilinear pattern of the patterning device to the substrate via a lithographic apparatus.

15. A method for determining a curve pattern for a patterning device, the method comprising:

obtaining: (i) an initial image of the patterning device corresponding to a target pattern to be printed on a substrate subjected to a patterning process; and (ii) a process model configured to predict a pattern on the substrate from the initial image;

generating, by a hardware computer system, a transformed image from the initial image that is a continuous mask image by applying a binary transformation; and

iteratively determining, by the hardware computer system, a curve pattern of the patterning device based on the transformed image, the process model, and a cost function, wherein the cost function determines a difference between the predicted pattern and the target pattern, wherein the difference is iteratively reduced.

16. The method of aspect 15, wherein determining the iteration of the curve pattern comprises:

modifying mask variables of an initial image corresponding to the transformed image;

determining a gradient of the cost function; and

Determining values of mask variables for the level set image based on gradients of the cost function such that the cost function is reduced.

17. The method of any of aspects 15-16, wherein the cost function is minimized.

18. The method of aspect 17, wherein the cost function includes edge placement error, sidelobe printing penalty, and/or mask rule check violation penalty.

19. The method of any of aspects 1-18, further comprising:

generating, by the hardware computer system, an enhanced image from the initial image;

generating, by the hardware computer system, a transformed image by applying a binary transformation to the enhanced image which is a continuous mask image; and

iteratively determining, by the hardware computer system, a curve pattern of the patterning device based on the transformed image, the process model, and the cost function such that the cost function is reduced.

20. The method of any of aspects 1-19, wherein the binary transformation is performed by a logistic function, a staircase function, and/or an sigmoid function.

21. The method of any of aspects 15-20, further comprising:

performing a patterning step by using the curvilinear mask to print a pattern on the substrate via the patterning process.

22. The method of any of aspects 15-21, wherein the initial image is a continuous transmission mask image comprising features corresponding to the target pattern and sub-resolution assist features.

23. The method of any of aspects 15-22, further comprising:

incorporating structural features corresponding to the curvilinear pattern to fabricate the patterning device.

24. A computer program product comprising a non-transitory computer readable medium having instructions recorded thereon, which when executed by a computer implement the method of any of the above aspects.

The concepts disclosed herein can simulate or mathematically model any general purpose imaging system for imaging sub-wavelength features, and can be used with, inter alia, emerging imaging technologies capable of producing shorter and shorter wavelengths. Emerging technologies that have been in use include Extreme Ultraviolet (EUV), DUV lithography, which is capable of producing 193nm wavelength by using ArF lasers and even capable of producing 157nm wavelength by using fluorine lasers. Furthermore, EUV lithography can produce wavelengths in the range of 5nm to 20nm by using a synchrotron or by striking a material (solid or plasma) with high-energy electrons in order to produce photons in this range.

Although the concepts disclosed herein may be used to image on a substrate, such as a silicon wafer, it should be understood that the disclosed concepts may be used with any type of lithographic imaging system, for example, a lithographic imaging system for imaging on substrates other than silicon wafers.

The above description is intended to be illustrative, and not restrictive. Thus, it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below.

33页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:感光性组合物

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类