Iodonium salt, resist composition and pattern forming method

文档序号:1425062 发布日期:2020-03-17 浏览:22次 中文

阅读说明:本技术 碘鎓盐、抗蚀剂组合物和图案形成方法 (Iodonium salt, resist composition and pattern forming method ) 是由 藤原敬之 大桥正树 片山和弘 山田健司 于 2019-09-11 设计创作,主要内容包括:本发明为碘鎓盐、抗蚀剂组合物和图案形成方法。提供了新的羧酸碘鎓盐和包含其作为猝灭剂的抗蚀剂组合物。当将所述抗蚀剂组合物通过使用KrF或ArF准分子激光、EB或EUV的光刻法进行加工时,形成在矩形性、MEF、LWR和CDU方面得以改进的抗蚀剂图案。(The invention provides an iodonium salt, a resist composition and a pattern forming method. Novel iodonium salts of carboxylic acids and resist compositions containing the same as quenchers are provided. When the resist composition is processed by photolithography using KrF or ArF excimer laser, EB or EUV, a resist pattern improved in rectangularity, MEF, LWR and CDU is formed.)

1. An iodonium salt having formula (1):

wherein R isf1And Rf2Each independently hydrogen, fluorine or C which may contain fluorine1-C4A linear or branched monovalent hydrocarbon radical, Rf1And Rf2At least one of (A) is fluorine or C which may contain fluorine1-C4A straight-chain or branched-chain monovalent hydrocarbon group,

Ar1and Ar2Each independently being C which may contain hetero atoms6-C40Aryl, or Ar1And Ar2May be bonded together to form a ring with the iodine atom to which they are attached.

2. An iodonium salt according to claim 1 wherein Rf1And Rf2Each independently hydrogen, fluoro, methyl or trifluoromethyl.

3. An iodonium salt according to claim 1 wherein Ar is Ar1And Ar2Each independently is unsubstituted phenyl or phenyl substituted with halogen, alkyl or alkoxy in the para position to the iodine atom.

4. A quencher comprising the iodonium salt of claim 1.

5. A resist composition comprising the quencher of claim 4.

6. The resist composition of claim 5, further comprising a base resin comprising a polymer comprising a repeat unit having formula (a) and a repeat unit having formula (b):

wherein R isAIs hydrogen, fluorine, methyl or trifluoromethyl, ZAIs a single bond, phenylene, naphthylene or (backbone) -C (═ O) -O-Z '-, Z' is C which may contain a hydroxyl moiety, an ether linkage, an ester linkage or a lactone ring1-C10Alkanediyl, or phenylene or naphthylene, XAIs an acid labile group, YAIs hydrogen or a polar group having at least one structure selected from the group consisting of a hydroxyl group, a cyano group, a carbonyl group, a carboxyl group, an ether bond, an ester bond, a sulfonate ester bond, a carbonate bond, a lactone ring, a sultone ring and a carboxylic anhydride.

7. The resist composition of claim 6, wherein the polymer further comprises a repeating unit selected from at least one of repeating units having the formulae (c1) to (c 4):

Figure FDA0002198504710000022

wherein R isAIs hydrogen, fluorine, methyl or trifluoromethyl,

L1is a single bond, phenylene, -O-L11-、-C(=O)-O-L11-or-C (═ O) -NH-L11-,L11Is C1-C20Alkanediyl, C2-C20Alkylene diyl or phenylene, which may contain heteroatoms,

L2and L3Each independently a single bond or C which may contain a heteroatom1-C20A divalent hydrocarbon group,

L4is a single bond, methylene, ethylene, phenylene, fluorinated phenylene, -O-L41-、-C(=O)-O-L41-or-C (═ O) -NH-L41-,L41Is an optionally substituted phenylene radical which is,

R11to R21Each independently being C which may contain hetero atoms1-C20Monovalent hydrocarbon radical, L1、R11And R12Any two of which may be bonded together to form a ring with the sulfur atom to which they are attached, R13、R14And R15Any two of (1), R16、R17And R18Or R is19、R20And R21Any two of which may be bonded together to form a ring with the sulfur atom to which they are attached,

Xc-is a non-nucleophilic counter-ion,

A1is hydrogen or a trifluoromethyl group, or a salt thereof,

n1is 0 or 1, when L2Is a single bond, n1Is 0, n2Is 0 or 1, when L3Is a single bond, n2Is 0.

8. The resist composition of claim 5, further comprising an organic solvent.

9. The resist composition of claim 5, further comprising a photoacid generator.

10. The resist composition of claim 9, wherein the photoacid generator has formula (2) or (3):

Figure FDA0002198504710000031

wherein R is101、R102And R103Each independently being C which may contain hetero atoms1-C20Monovalent hydrocarbon radical, R101、R102And R103Any two of which may be bonded together to form a ring with the sulfur atom to which they are attached, and X-Is an anion selected from the following formulae (2A) to (2D):

Figure FDA0002198504710000041

wherein R isfa、Rfb1、Rfb2、Rfc1、Rfc2And Rfc3Each independently being fluorine or C which may contain hetero atoms1-C40A monovalent hydrocarbon group, or Rfb1And Rfb2Or Rfc1And Rfc2A pair of atoms which may be bonded together to form a ring with the carbon atom and optional intervening atoms to which they are attached, RfdIs C which may contain hetero atoms1-C40A monovalent hydrocarbon group of a hydrocarbon group,

wherein R is201And R202Each independently being C which may contain hetero atoms1-C30Monovalent hydrocarbon radical, R203Is C which may contain hetero atoms1-C30A divalent hydrocarbon group, R201、R202And R203Any two of which may be bonded together to form a ring with the sulfur atom to which they are attached, LAIs a single bond, an ether bond or C which may contain hetero atoms1-C20A divalent hydrocarbon group, X1、X2、X3And X4Each independently of the other being hydrogen, fluorine or trifluoromethyl, X1、X2、X3And X4At least one of (a) and (b) is fluoro or trifluoromethyl.

11. Resist composition according to claim 5, further comprising an amine compound.

12. Resist composition according to claim 5, further comprising a surfactant which is insoluble or substantially insoluble in water and soluble in an alkaline developer and/or a surfactant which is insoluble or substantially insoluble in water and an alkaline developer.

13. A pattern forming method comprising the steps of: applying the resist composition of claim 5 onto a substrate to form a resist film, exposing selected areas of the resist film to a KrF excimer laser, ArF excimer laser, EB or EUV, and developing the exposed resist film with a developer.

14. The pattern forming method according to claim 13, wherein the developing step uses an aqueous alkaline solution as a developer, thereby forming a positive type pattern in which exposed regions of the resist film are dissolved away and unexposed regions of the resist film are not dissolved.

15. The pattern forming method according to claim 13, wherein the developing step uses an organic solvent as a developer, thereby forming a negative-type pattern in which unexposed regions of the resist film are dissolved away and exposed regions of the resist film are not dissolved.

16. The pattern forming method according to claim 15, wherein the organic solvent is at least one solvent selected from the group consisting of: 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methylacetophenone, propyl acetate, butyl acetate, isobutyl acetate, amyl acetate, butenyl acetate, isoamyl acetate, propyl formate, butyl formate, isobutyl formate, amyl formate, isoamyl formate, methyl valerate, methyl pentenoate, methyl crotonate, ethyl crotonate, methyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, pentyl lactate, isoamyl lactate, methyl 2-hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, Methyl phenylacetate, benzyl formate, phenethyl formate, methyl 3-phenylpropionate, benzyl propionate, ethyl phenylacetate and 2-phenylethyl acetate.

17. The method of claim 13, wherein the exposing step is performed by immersion lithography while a liquid having a refractive index of at least 1.0 is held between the resist film and a projection lens.

18. The method according to claim 17, further comprising a step of coating a protective film on the resist film before the exposing step, wherein the immersion lithography is performed while the liquid is held between the protective film and the projection lens.

Technical Field

The present invention relates to an iodonium salt, a resist composition comprising the same, and a pattern forming method using the resist composition.

Background

While many efforts have been made recently to achieve finer pattern scales driven by higher integration and operating speeds in LSI devices, DUV and EUV lithography are still considered to be particularly promising as the next generation in microfabrication technology. In particular, photolithography using ArF excimer laser light as a light source is considered to be indispensable for a micropatterning technique capable of realizing a feature size of 0.13 μm or less.

For the purpose of meeting miniaturization requirements, it is important not only to optimize the base resin in the resist composition, but also to improve the performance of additives, typically photoacid generators (PAGs). Particularly in chemically amplified resist compositions, it is important how to control the diffusion of strong acids generated upon exposure.

In general, a sulfonium salt, typically triphenylsulfonium nonafluorobutanesulfonate, is used as the PAG for stability in the resist composition. However, when a sulfonium salt is used in the resist composition, the generated acid is too diffusive to achieve high resolution. To solve this problem, partially fluorinated alkane sulfonic acids and salts thereof have been developed. PAGs that impart an acid diffusion inhibitory effect by introducing bulky substituents or polar groups thereto have been studied. For example, triphenylsulfonium 2- (1-adamantylcarbonyloxy) -1,1,3,3, 3-pentafluoropropane-1-sulfonate is a PAG having an acid diffusion inhibiting effect because it has a bulky substituent. However, resist compositions using such PAGs still have insufficient control of acid diffusion to the greatest extent. When Line Width Roughness (LWR), resolution, and other factors, which are indexes of pattern roughness, are comprehensively evaluated, the lithography performance thereof is not satisfactory.

In addition to structural improvement of PAG, quenching or acid diffusion modulating agents are also providedA study was conducted. Typically amines and weak acidic onium salts are used as quenchers. Patent document 1 describes that addition of triphenylsulfonium acetate ensures formation of a resist pattern without a T-shaped top profile, a difference in line width between individual patterns and grouped patterns, and a standing wave. Patent document 2 reports improvement of sensitivity, resolution, and exposure margin by adding ammonium salts of sulfonic acids or carboxylic acids. In addition, patent document 3 describes that a resist composition for KrF or EB lithography containing a PAG capable of producing a fluorinated carboxylic acid is improved in resolution and process latitude, such as exposure margin and depth of focus. Further, patent document 4 describes that for F contains PAG capable of producing fluorinated carboxylic acid2The resist composition of laser lithography is improved in Line Edge Roughness (LER) and solves the undercut problem. Although the aforementioned patent documents refer to KrF, EB and F2Photolithography, but patent document 5 describes a positive photosensitive composition for ArF excimer laser lithography containing an onium carboxylate salt. These systems are based on the following mechanism: ion exchange occurs between the weak acid onium salt and a strong acid generated by another PAG upon exposure to form a weak acid and a strong acid onium salt. That is, strong acids (sulfonic acids) with high acidity are replaced by weak acids (carboxylic acids), thereby inhibiting acid-assisted decomposition reactions of acid labile groups and reducing or controlling the distance of acid diffusion.

However, even when these weak acid onium salts are used, there is a possibility that: pattern collapse occurred, resolution was no longer improved, and solubility in an alkaline developer was low, leaving defects after development. Photolithographic factors such as LWR are also unsatisfactory. In order to solve these problems, patent document 6 proposes a resist composition containing a sulfonium salt of a fluorinated carboxylic acid. The composition still does not give satisfactory results with respect to pattern profile and lithographic performance.

CITATION LIST

Patent document 1: JP-A H11-295887

Patent document 2: JP-A H11-327143

Patent document 3: JP 4231622(USP 6,485,883)

Patent document 4: JP 4116340(USP 7,214,467)

Patent document 5: JP 4226803(USP 6,492,091)

Patent document 6: JP 5556765

Disclosure of Invention

An object of the present invention is to provide an iodonium carboxylate salt and a resist composition comprising the same as a quencher, which is processed by photolithography using high-energy radiation, such as KrF or ArF excimer laser, EB or EUV, as an energy source to form a resist pattern having improved rectangularity, MEF, LWR and CDU, and a pattern forming method using the same.

The inventors have found that resist compositions comprising iodonium salts of specific structures improve on a number of lithographic performance factors including rectangularity, MEF, LWR and CDU and are therefore best suited for precise micropatterning.

In one aspect, the present invention provides an iodonium salt having formula (1).

Wherein R isf1And Rf2Each independently hydrogen, fluorine or C which may contain fluorine1-C4A linear or branched monovalent hydrocarbon radical, Rf1And Rf2At least one of (A) is fluorine or C which may contain fluorine1-C4A linear or branched monovalent hydrocarbon group. Ar (Ar)1And Ar2Each independently being C which may contain hetero atoms6-C40Aryl, or Ar1And Ar2May be bonded together to form a ring with the iodine atom to which they are attached.

Preferably, Rf1And Rf2Each independently hydrogen, fluoro, methyl or trifluoromethyl.

Preferably, Ar1And Ar2Each independently is unsubstituted phenyl or phenyl substituted with halogen, alkyl or alkoxy in the para position to the iodine atom.

In a second aspect, the present invention provides a quencher comprising an iodonium salt as defined above.

In a third aspect, the invention provides a resist composition comprising the quencher.

In a preferred embodiment, the resist composition further comprises a base resin comprising a polymer having a repeating unit of formula (a) and a repeating unit of formula (b).

Figure BDA0002198504720000041

Wherein R isAIs hydrogen, fluorine, methyl or trifluoromethyl. ZAIs a single bond, phenylene, naphthylene or (backbone) -C (═ O) -O-Z '-, wherein Z' is C which may contain a hydroxyl moiety, an ether linkage, an ester linkage or a lactone ring1-C10Alkanediyl, or phenylene or naphthylene. XAAre acid labile groups. Y isAIs hydrogen or a polar group having at least one structure selected from the group consisting of a hydroxyl group, a cyano group, a carbonyl group, a carboxyl group, an ether bond, an ester bond, a sulfonate ester bond, a carbonate bond, a lactone ring, a sultone ring and a carboxylic anhydride.

In a preferred embodiment, the polymer further comprises at least one repeating unit selected from repeating units having the formulae (c1) to (c 4).

Figure BDA0002198504720000042

Wherein R isAIs hydrogen, fluorine, methyl or trifluoromethyl. L is1Is a single bond, phenylene, -O-L11-、-C(=O)-O-L11-or-C (═ O) -NH-L11-,L11Is C1-C20Alkanediyl, C2-C20Alkylene diyl or phenylene, which may contain heteroatoms. L is2And L3Each independently a single bond or C which may contain a heteroatom1-C20A divalent hydrocarbon group. L is4Is a single bond, methylene, ethylene, phenylene, fluorinated phenylene, -O-L41-、-C(=O)-O-L41-or-C (═ O) -NH-L41-,L41Is optionally substituted phenylene. R11To R21Each independently being C which may contain hetero atoms1-C20Monovalent hydrocarbon radical, L1、R11And R12Any two of which may be bonded together to form a ring with the sulfur atom to which they are attached, R13、R14And R15Any two of (1), R16、R17And R18Or R is19、R20And R21Any two of which may be bonded together to form a ring with the sulfur atom to which they are attached. Xc-Are non-nucleophilic counterions. A. the1Is hydrogen or trifluoromethyl, n1Is 0 or 1, when L2Is a single bond, n1Is 0, n2Is 0 or 1, when L3Is a single bond, n2Is 0.

The resist composition may further comprise an organic solvent.

The resist composition may further comprise a photoacid generator. Preferably, the photoacid generator has formula (2) or (3).

Figure BDA0002198504720000051

Wherein R is101、R102And R103Each independently being C which may contain hetero atoms1-C20Monovalent hydrocarbon radical, R101、R102And R103Any two of which may be bonded together to form a ring with the sulfur atom to which they are attached. X-Is an anion selected from the following formulae (2A) to (2D):

Figure BDA0002198504720000052

wherein R isfa、Rfb1、Rfb2、Rfc1、Rfc2And Rfc3Each independently being fluorine or C which may contain hetero atoms1-C40A monovalent hydrocarbon group, or Rfb1And Rfb2Or Rfc1And Rfc2A pair of carbon atoms and optional insertions which may be bonded together to connect themThe incoming atom forming a ring, RfdIs C which may contain hetero atoms1-C40A monovalent hydrocarbon group.

Figure BDA0002198504720000061

Wherein R is201And R202Each independently being C which may contain hetero atoms1-C30Monovalent hydrocarbon radical, R203Is C which may contain hetero atoms1-C30A divalent hydrocarbon group, R201、R202And R203Any two of which may be bonded together to form a ring with the sulfur atom to which they are attached, LAIs a single bond, an ether bond or C which may contain hetero atoms1-C20A divalent hydrocarbon group, X1、X2、X3And X4Each independently of the other being hydrogen, fluorine or trifluoromethyl, X1、X2、X3And X4At least one of (a) and (b) is fluoro or trifluoromethyl.

The resist composition may further comprise an amine compound.

The resist composition may further comprise a surfactant that is insoluble or substantially insoluble in water and soluble in an alkaline developer and/or a surfactant that is insoluble or substantially insoluble in water and an alkaline developer.

In a fifth aspect, the present invention provides a pattern forming method comprising the steps of: the resist composition defined above is applied to a substrate to form a resist film, selected areas of the resist film are exposed to a KrF excimer laser, ArF excimer laser, EB or EUV, and the exposed resist film is developed with a developer.

In a preferred embodiment, the developing step uses an aqueous alkaline solution as a developer, thereby forming a positive-type pattern in which exposed regions of the resist film are dissolved away and unexposed regions of the resist film are not dissolved.

In another preferred embodiment, the developing step uses an organic solvent as a developer, thereby forming a negative-type pattern in which unexposed regions of the resist film are dissolved away and exposed regions of the resist film are not dissolved.

Preferably, the organic solvent is at least one solvent selected from the group consisting of: 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methylacetophenone, propyl acetate, butyl acetate, isobutyl acetate, amyl acetate, butenyl acetate, isoamyl acetate, propyl formate, butyl formate, isobutyl formate, amyl formate, isoamyl formate, methyl valerate, methyl pentenoate, methyl crotonate, ethyl crotonate, methyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, pentyl lactate, isoamyl lactate, methyl 2-hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, Methyl phenylacetate, benzyl formate, phenethyl formate, methyl 3-phenylpropionate, benzyl propionate, ethyl phenylacetate and 2-phenylethyl acetate.

In a preferred embodiment, the exposing step is performed by immersion lithography while a liquid having a refractive index of at least 1.0 is held between the resist film and the projection lens.

The method may further include a step of coating a protective film on the resist film before the exposing step, wherein the immersion lithography is performed while the liquid is held between the protective film and the projection lens.

The invention has the advantages of

When a resist composition comprising the iodonium salt of the present invention as a quencher is processed by photolithography using high-energy radiation such as KrF or ArF excimer laser, EB or EUV, particularly ArF excimer laser or EUV, as an energy source, a resist pattern having improved rectangularity, MEF, LWR and CDU is formed because the degree of acid diffusion is significantly controlled.

Detailed Description

As used herein, the singular forms "a," "an," and "the" include plural referents unless the context clearly dictates otherwise. "optional" or "optionally" means that the subsequently described event or circumstance may or may not occur, and that the description includes instances where the event or circumstance occurs and instances where it does not. The label (Cn-Cm) denotes a group containing n to m carbon atoms per group. In the formula, Me represents a methyl group, Ac represents an acetyl group, nBu represents an n-butyl group, tBu represents a tert-butyl group, Ph represents a phenyl group, and the dotted line represents a bond.

Abbreviations and acronyms have the following meanings.

EB: electron beam

EUV: extreme ultraviolet

Mw: weight average molecular weight

Mn: number average molecular weight

Mw/Mn: molecular weight distribution or dispersity

GPC: gel permeation chromatography

PEB: post exposure bake

PAG: photoacid generators

LWR: line width roughness

MEF: mask error factor

CDU: critical dimension uniformity

It is understood that for some structures represented by chemical formulas, enantiomers and diastereomers may exist due to the presence of asymmetric carbon atoms. In such cases, the individual formula collectively represents all such isomers. The isomers may be used alone or in admixture.

Iodonium salt

One embodiment of the present invention is an iodonium salt having formula (1).

Figure BDA0002198504720000081

In the formula (1), Rf1And Rf2Each independently hydrogen, fluorine or C which may contain fluorine1-C4A linear or branched monovalent hydrocarbon group. Rf1And Rf2At least one of (A) is fluorine or C which may contain fluorine1-C4A linear or branched monovalent hydrocarbon group.

Examples of the monovalent hydrocarbon group include methyl, ethyl, n-propyl, isopropyl, n-butyl, monofluoromethyl, difluoromethyl, trifluoromethyl, 2,2, 2-trifluoromethyl, pentafluoroethyl, heptafluoropropyl, nonafluorobutyl, 2,2, 2-trifluoroethyl, and 1-trifluoromethyl-2, 2, 2-trifluoroethyl. Rf1And Rf2Preferably hydrogen, methyl, fluoro or trifluoromethyl, most preferably trifluoromethyl. When R isf1And Rf2When both are trifluoromethyl, the conjugate acid of the carboxylate anion has an appropriately increased acidity, and the steric structure around the nucleophilic site becomes bulky so that nucleophilicity is appropriately inhibited. An improvement in the stability of the resist composition can thus be expected. The introduction of the fluoroalcohol unit leads to an improvement in compatibility and thus to uniform dispersibility in the resist film. Improvements in lithographic performance factors such as LWR and CDU are thus expected.

In the formula (1), Ar1And Ar2Each independently being C which may contain hetero atoms6-C40Aryl, or Ar1And Ar2May be bonded together to form a ring with the iodine atom to which they are attached.

Examples of aryl groups include, but are not limited to, phenyl, naphthyl, tolyl, xylyl, trimethylphenyl, ethylphenyl, isopropylphenyl, tert-butylphenyl, 1-adamantylphenyl, triisopropylphenyl, tricyclohexylphenyl, methoxyphenyl, ethoxyphenyl, butoxyphenyl, hydroxyphenyl, dihydroxyphenyl, trimethoxyphenyl, methylthiophenyl, biphenyl, fluorophenyl, difluorophenyl, bromophenyl, iodophenyl, N-diphenylaminophenyl, acetoxyphenyl, acetylaminophenyl, 2,2, 2-trifluoroethoxyphenyl, (2-methoxyethoxy) phenyl, hydroxynaphthyl, dihydroxynaphthyl, 2,2, 2-trifluoroethoxynaphthyl and (2-methoxyethoxy) naphthyl, with the proviso that in the case of substituted groups, the position of the substituent is arbitrary.

Also included in the aryl groups are aryl groups having polymerizable substituents such as acryloxy or methacryloxy groups. Examples include 4-acryloyloxyphenyl, 4-methacryloyloxyphenyl, 4-acryloyloxy-3, 5-dimethylphenyl, 4-methacryloyloxy-3, 5-dimethylphenyl, 4-vinyloxyphenyl, 4-vinylphenyl, 4- (2-acryloyloxyethoxy) phenyl and 4- (2-methacryloyloxyethoxy) phenyl.

Ar1And Ar2Preferred is unsubstituted phenyl, or phenyl substituted with halogen, alkyl or alkoxy at the para position to the iodine atom. More preferably, Ar1And Ar2Is phenyl, 4-tert-butylphenyl or 4-fluorophenyl.

When Ar is1And Ar2When bonded together to form a ring with the iodine atom to which they are attached, Ar1And Ar2May be bonded directly or via an oxygen atom, methylene, sulfone or carbonyl group. Examples of the ring structure thus formed are shown below, but not limited thereto. It is noted that in any of the following formulae, the substituent may be attached to the aromatic ring at any position.

Figure BDA0002198504720000091

Examples of anions in the iodonium salt having formula (1) are given below, but not limited thereto.

Figure BDA0002198504720000101

Examples of cations in the iodonium salt having formula (1) are given below, but not limited thereto.

Figure BDA0002198504720000111

Figure BDA0002198504720000121

Exemplary structures of iodonium salts include any combination of cations and anions, both as exemplified above, but are not limited thereto. Preferred structures are shown below.

Figure BDA0002198504720000131

Resist compositions comprising the iodonium salts of the present invention as quenchers are improved with respect to lithographic performance factors such as rectangularity, MEF, LWR and CDU. Although the reason why such an advantage is obtained is not well understood, the following is estimated.

The iodonium salt of the present invention is highly compatible and uniformly dispersed in a resist film by virtue of the fluoroalcohol units in its anion skeleton, thereby improving the lithography factors such as LWR and CDU. The iodonium cation has a high dissolution inhibiting ability as compared with the sulfonium cation, and therefore, the rectangularity of the resist composition of the alkaline development type containing the iodonium salt of the present invention is improved because the dissolution of the top of the pattern in the developer is inhibited.

The iodonium salts of the present invention are thermally stable compared to triarylsulfonium salts having the same anion. Although the cause is not well understood, the following is estimated. The iodonium salts of the present invention have the following structure: the anion has a hydroxyl group and a carboxyl group via a methylene group. The salts are stabilized by the following mechanism: oxygen atoms and cationic moieties (M) on both of these functional groups+) A ring structure represented by the following formula (1') is formed. In the formation of a ring structure, the steric hindrance of the iodonium cation is low, and it is possible to form a ring structure because the iodine atom at the center has a large atomic radius and two aryl groups bonded thereto. In contrast, the triarylsulfonium cation is high in steric hindrance and is unlikely to take a stable structure because the sulfur atom at the center has a small atomic radius and three aryl groups bonded thereto. These result in a decrease in thermal stability.

Wherein R isf1And Rf2As defined above, and M is iodine or sulfur.

The iodonium salt of the present invention is likely to take a stable structure, which contributes to an increase in the ability to suppress solubility in an alkaline developer and an improvement in rectangularity.

The iodonium salts of the present invention can be synthesized, for example, according to the scheme shown below, but the synthetic route is not limited thereto.

Figure BDA0002198504720000142

Wherein R isf1、Rf2、Ar1And Ar2As defined above, R is hydrogen or alkyl, B is a base, and X-Is an anion.

The starting compounds can be carried out by various methods, for example by addition of hydrogen cyanide to the corresponding carbonyl compounds and hydrolysis thereof. However, hydrogen cyanide is a lethal poison, and some carbonyl compounds such as hexafluoroacetone are also lethal. They require careful handling. In contrast, the 3,3, 3-trifluoro-2-hydroxy-2- (trifluoromethyl) propionic acid derivative, particularly the methyl ester thereof, is obtained from octafluoroisobutylene, which is a by-product during the synthesis of hexafluoropropylene. These fluorine compounds can be obtained in large amounts and at relatively low cost because their source is a by-product of industrial products.

Examples of the base used herein include lithium hydroxide, sodium hydroxide, potassium hydroxide, calcium hydroxide, tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrabutylammonium hydroxide and ammonium hydroxide.

The amount of the base used is preferably 0.8 to 3 equivalents, more preferably 0.9 to 1.2 equivalents, per equivalent of α -hydroxycarboxylic acid or ester thereof, suitable solvents include water, water-methanol, water-ethanol, and water-tetrahydrofuran, with water being preferred, although the reaction temperature and time are arbitrary, a temperature of room temperature to about 40 ℃ is preferred in order to accelerate the consumption of the reactants.

The second step of the ion exchange reaction is described in detail. The ion exchange reaction may be carried out by any known method, typically in an organic solvent or a mixture of an organic solvent and water. Suitable organic solvents include dichloroethane, chloroform, ethyl acetate, methyl isobutyl ketone, methanol, ethanol, acetonitrile and 1-pentanol, while they may be used alone or in mixture. After removal of the salt by-products, the product can be purified by standard methods such as recrystallization or chromatography.

When an iodonium salt is used in the ion exchange reaction, examples of the anion of the iodonium salt include, but are not limited to, chloride, bromide, methanesulfonate, p-toluenesulfonate, nitrate, sulfate, carbonate, bicarbonate, methylsulfate and acetate ions. Especially preferred are chloride, p-toluenesulfonate and acetate.

Resist composition

Another embodiment of the present invention relates to a resist composition comprising (a) a quencher in the form of an iodonium salt having formula (1) as an essential component, (B) a base resin and (C) an organic solvent as an optional component. If desired, the resist composition may further comprise at least one component selected from the group consisting of: (D) a photoacid generator, (E) a surfactant, (F) a quencher different from component (a), and (G) another component.

(A) Iodonium salt

Component (a) in the resist composition is the iodonium salt of the present invention added as a quencher. The amount of the iodonium salt as component (a) is preferably 0.1 to 20 parts by weight, more preferably 0.5 to 10 parts by weight, per 100 parts by weight of the base resin as component (B). The iodonium salt may function as a quencher when the equivalent weight is at least 0.1 parts. When the equivalent is at most 20 parts, any loss of properties such as the formation of foreign particles due to insufficient solubility can be avoided.

In this embodiment, the photoacid generator capable of generating a strong acid may take the form of a base resin having repeating units with acid generating sites incorporated therein or be added to the resist composition separately from the base resin.

The iodonium salts of the present invention are typically used as quenchers in formulating resist compositions. When applied to a photolithography method using high-energy radiation such as KrF or ArF excimer laser, EB or EUV as an energy source, the resist composition exhibits excellent lithographic performance in terms of rectangularity, LWR and CDU.

(B) Base resin

The base resin used in the resist composition is preferably a polymer comprising a repeating unit having the formula (a) and a repeating unit having the formula (b).

Figure BDA0002198504720000161

In the formulae (a) and (b), RAIs hydrogen, fluorine, methyl or trifluoromethyl. ZAIs a single bond, phenylene, naphthylene or (backbone) -C (═ O) -O-Z '-, wherein Z' is C which may contain a hydroxyl moiety, an ether linkage, an ester linkage or a lactone ring1-C10Alkanediyl, or phenylene or naphthylene. XAAre acid labile groups. Y isAIs hydrogen or a polar group having at least one structure selected from the group consisting of a hydroxyl group, a cyano group, a carbonyl group, a carboxyl group, an ether bond, an ester bond, a sulfonate ester bond, a carbonate bond, a lactone ring, a sultone ring, and a carboxylic anhydride (-C (═ O) -O-C (═ O) -).

The alkanediyl group may be linear, branched or cyclic, and examples thereof include methylene, ethane-1, 1-diyl, ethane-1, 2-diyl, propane-2, 2-diyl, propane-1, 3-diyl, 2-methylpropane-1, 3-diyl, butane-2, 3-diyl, butane-1, 4-diyl, pentane-1, 3-diyl, pentane-1, 4-diyl, 2-dimethylpropane-1, 3-diyl, pentane-1, 5-diyl, hexane-1, 6-diyl, cyclopentane-1, 2-diyl, cyclopentane-1, 3-diyl and cyclohexane-1, 6-diyl.

Wherein ZAExamples of the structure of formula (a) as variables are shown below, but not limited thereto. Wherein R isAAnd XAAs defined above.

Figure BDA0002198504720000171

From XAThe acid labile group represented may be selected from a variety of such groups. Examples of acid labile groups include C4-C20Tertiary alkyl groups, trialkylsilyl groups having from 1 to 6 carbon atoms per alkyl moiety, and C4-C20An oxoalkyl group. With regard to the structure of these acid-labile groups, reference should be made to JP-A2014-225005, No. [0016 ]]-[0035]And (4) section.

Particularly preferred as acid-labile groups are groups of the formulae (xa), (xb) and (xc).

Figure BDA0002198504720000172

Wherein R isxIs C which may contain hetero atoms1-C10A monovalent hydrocarbon group, and k is 1 or 2.

When the tertiary alicyclic hydrocarbon group represented by the formula (xa), (xb) or (xc) is bonded to the ester oxygen, the group becomes more acid-labile than other tertiary alkyl groups such as t-butyl and t-amyl groups due to steric repulsion. When a tertiary alicyclic hydrocarbon group is used as the polarity-switching unit in the resist composition, the solubility contrast between exposed and unexposed regions is improved. Thus, a pattern having improved lithographic performance with respect to LWR, CDU and rectangularity is obtained.

Examples of the repeating unit having formula (a) are given below, but not limited thereto. Wherein R isAAs defined above.

Figure BDA0002198504720000181

Figure BDA0002198504720000191

Figure BDA0002198504720000201

Although the above examples correspond to wherein ZAUnits of formula (a) which are single bonds, but may also be combined with those in which ZASimilar acid labile group combinations that are not single bonds. ZAGroups other than single bonds are as indicated above.

Examples of the repeating unit having the formula (b) are given below, but not limited thereto. Wherein R isAAs defined above.

Figure BDA0002198504720000211

Figure BDA0002198504720000231

The polymer may further comprise at least one repeating unit selected from repeating units having formulae (c1) to (c 4).

In the formulae (c1) to (c4), RAIs hydrogen, fluorine, methyl or trifluoromethyl. L is1Is a single bond, phenylene, -O-L11-、-C(=O)-O-L11-or-C (═ O) -NH-L11-, wherein L11Is C1-C20Alkanediyl, C2-C20Alkylene diyl or phenylene, which may contain heteroatoms. L is2And L3Each independently a single bond or C which may contain a heteroatom1-C20A divalent hydrocarbon group. L is4Is a single bond, methylene, ethylene, phenylene, fluorinated phenylene, -O-L41-、-C(=O)-O-L41-or-C (═ O) -NH-L41-, wherein L41Is optionally substituted phenylene. A. the1Is hydrogen or trifluoromethyl, n1Is 0 or 1, when L2Is a single bond, n1Is 0, n2Is 0 or 1, when L3Is a single bond, n2Is 0.

From L11The alkanediyl radicals represented may be straight-chain, branched or cyclic. Examples thereof include methylene, ethane-1, 1-diyl, ethane-1, 2-diyl, propane-2, 2-diyl, propane-1, 3-diyl, 2-methylpropane-1, 3-diyl, butane-2, 3-diyl, butane-1, 4-diyl, pentane-1, 3-diyl, pentane-1, 4-diyl, 2-dimethylpropane-1, 3-diyl, pentane-1, 5-diyl, hexane-1, 6-diyl, cyclopentane-1, 2-diyl, cyclopentane-1, 3-diyl and cyclohexane-1, 6-diyl. From L11The expressed alkene diyl group may be linear, branched or cyclic, and examples thereof include ethylene-1, 2-diyl, 1-propylene-1, 3-diyl, 2-butene-1, 4-diyl, 1-methyl-1-butene-1, 4-diyl and 2-cyclohexene-1, 4-diyl.

From L2And L3The divalent hydrocarbon group represented may be linear, branched or cyclic, and examples thereof include alkanediyl and alkenediyl groups as exemplified above.

In the formulae (c1) to (c4), R11To R21Each independently being C which may contain hetero atoms1-C20A monovalent hydrocarbon group. The monovalent hydrocarbon group may be linear, branched or cyclic. Examples include alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl and tert-butyl, monovalent saturated cycloaliphatic hydrocarbon groups such as cyclopropyl, cyclopentyl, cyclohexyl, cyclopropylmethyl, 4-methylcyclohexyl, cyclohexylmethyl, norbornyl and adamantyl, alkenyl groups such as vinyl, allyl, propenyl, butenyl and hexenyl, monovalent unsaturated cycloaliphatic hydrocarbon groups such as cyclohexenyl, aryl groups such as phenyl and naphthyl, heteroaryl groups such as thienyl, and aralkyl groups such as benzyl, 1-phenylethyl and 2-phenylethyl. Is especially excellentAryl is preferred. In these hydrocarbyl groups, part of the hydrogens may be replaced with moieties containing heteroatoms such as oxygen, sulfur, nitrogen or halogens, or part of the carbons may be replaced with moieties containing heteroatoms such as oxygen, sulfur or nitrogen, so that the groups may contain hydroxyl moieties, cyano moieties, carbonyl moieties, ether linkages, ester linkages, sulfonate linkages, carbonate linkages, lactone rings, sultone rings, carboxylic anhydrides or haloalkyl moieties.

L1、R11And R12Any two of which may be bonded together to form a ring with the sulfur atom to which they are attached, R13、R14And R15Any two of (1), R16、R17And R18Any two of (1), or R19、R20And R21Any two of which may be bonded together to form a ring with the sulfur atom to which they are attached.

In the formula (c1), Xc-Are non-nucleophilic counterions. Examples of non-nucleophilic counter ions include halide ions, such as chloride and bromide; fluoroalkyl sulfonate ions such as trifluoromethanesulfonate, 1,1, 1-trifluoroethanesulfonate, and nonafluorobutanesulfonate; arylsulfonate ions such as toluenesulfonate, benzenesulfonate, 4-fluorobenzenesulfonate and 1,2,3,4, 5-pentafluorobenzenesulfonate; alkyl sulfonate ions such as methanesulfonate and butanesulfonate; imide ions such as bis (trifluoromethylsulfonyl) imide, bis (perfluoroethylsulfonyl) imide and bis (perfluorobutylsulfonyl) imide; and methide ions (methides) such as tris (trifluoromethylsulfonyl) methide and tris (perfluoroethylsulfonyl) methide.

From Xc-Other examples of non-nucleophilic counter ions represented include anions having the formulas (c5) and (c 6).

Figure BDA0002198504720000251

Wherein R is31And R32Each independently being C which may contain hetero atoms1-C40A monovalent hydrocarbon group, and A2Is hydrogen or trifluoromethyl.

Exemplary structures of the anionic moiety in the formula (c2) are described in JP-A2014-177407 [0021 ]]-[0026]In a section. Wherein A is1An exemplary structure of the anionic moiety in the formula (c2) which is hydrogen is described in JP-A2010-116550, No. [0021 ]]-[0028]In a section. Wherein A is1An exemplary structure of the anionic moiety in formula (c2) which is trifluoromethyl is described in JP-A2010-077404, no [0021 ]]-[0027]In a section.

Exemplary structures of the anionic moiety in formula (c3) include wherein-CH (A)1)CF2SO3 -is-C (CF)3)2CH2SO3 -Alternative exemplary structures for the anionic moiety in formula (c 2).

Preferred examples of the anionic moiety in formula (c2) are shown below, but not limited thereto. Wherein A is1As defined above.

Figure BDA0002198504720000261

Preferred examples of the anionic moiety in formula (c3) are shown below, but not limited thereto.

Figure BDA0002198504720000271

Examples of the sulfonium cation in the formulae (c2) to (c4) are shown below, but not limited thereto.

Figure BDA0002198504720000281

The polymer may further comprise a repeating unit having a structure with a hydroxyl group protected with an acid labile group. The repeating unit having a structure of a hydroxyl group protected with an acid-labile group is not particularly limited as long as the unit has at least one protected hydroxyl group structure in which the hydroxyl group is restored due to decomposition of the protecting group under the action of an acid. Such repeating units are described in JP-A2014-225005, paragraphs [0055] - [0065] and in JP-A2015-214634, paragraphs [0110] - [0115 ].

The polymer may further comprise other repeating units. Typical other repeating units are repeating units having oxirane or oxetane rings. The polymer comprising a repeating unit having an oxirane ring or an oxetane ring is crosslinked in the exposed region, resulting in retention of the resist film in the exposed region and improvement in etching resistance.

The polymer may also comprise additional other repeating units, such as units derived from: substituted acrylates such as methyl methacrylate, methyl crotonate, dimethyl maleate and dimethyl itaconate, unsaturated carboxylic acids such as maleic acid, fumaric acid and itaconic acid, cyclic olefins such as norbornene, norbornene derivatives, tetracyclo [6.2.1.13,6.02,7]Dodecene derivatives, unsaturated anhydrides such as itaconic anhydride, vinyl aromatics such as styrene, vinyl naphthalene, hydroxystyrene, hydroxyvinyl naphthalene and 4-t-butoxystyrene, and other monomers. Examples of such other repeating units are described in JP-A2015-214634, item [0120 ]]-[0132]Paragraph, but not limited thereto. Particularly when the resist composition is used in EUV lithography, it preferably includes a repeating unit derived from hydroxystyrene, a hydroxystyrene derivative such as o-hydroxystyrene, m-hydroxystyrene, p-hydroxystyrene or a tertiary ether thereof, and more preferably includes a repeating unit derived from m-hydroxystyrene or p-hydroxystyrene.

The polymer should preferably have a Mw of 1,000 to 500,000, and more preferably 3,000 to 100,000. Mw within this range eliminates any risk including: an extreme decrease in etching resistance, no difference in dissolution rate between before and after exposure, and a decrease in resolution can be obtained. As used herein, Mw is measured by GPC versus polystyrene standards. In addition, preferably, the polymer has a dispersity (Mw/Mn) of 1.2 to 2.5, more preferably 1.3 to 1.8.

The polymer may be synthesized by any method, for example, by using one or more monomers corresponding to the desired repeating unit in an organic solvent, adding a radical polymerization initiator and heating to polymerize. For the polymerization process, reference should be made to USP 9,256,127 (JP-A2015-214634, paragraphs [0134] - [0137 ]). The acid labile groups that have been introduced into the monomer may be left as such or may be protected or partially protected after polymerization.

When the polymer contains repeating units derived from monomers, the molar fraction of each unit preferably falls within the following range (mol%), but is not limited thereto:

(I)1 to 80 mol%, more preferably 5 to 70 mol%, even more preferably 10 to 60 mol% of at least one recurring unit of formula (a),

(II)20 to 99 mol%, more preferably 30 to 95 mol%, even more preferably 40 to 90 mol% of at least one repeating unit having the formula (b), and optionally

(III)0 to 30 mol%, more preferably 0 to 20 mol%, and even more preferably 0 to 15 mol% of at least one repeating unit selected from the group consisting of formulae (c1) to (c4), and optionally

(IV) from 0 to 80 mol%, more preferably from 0 to 70 mol%, and even more preferably from 0 to 60 mol% of at least one repeating unit derived from one or more other monomers.

In addition to the aforementioned polymers, the base resin (B) may contain a hydrogenated product of a Ring Opening Metathesis Polymerization (ROMP) polymer. Hydrogenated ROMP polymers are described in JP-A2003-066612.

(C) Organic solvent

Any organic solvent may be used as long as the aforementioned components and other additives are soluble therein. Examples of the organic solvent are described in JP-A2008-111103, paragraphs [0144] - [0145] (USP7,537,880). Specifically, exemplary solvents include ketones, such as cyclohexanone and methyl-2-n-amyl ketone; alcohols such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol and diacetone alcohol; ethers such as propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether and diethylene glycol dimethyl ether; and esters such as Propylene Glycol Monomethyl Ether Acetate (PGMEA), propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate, tert-butyl propionate, and propylene glycol mono tert-butyl ether acetate; and lactones, such as gamma-butyrolactone, and mixtures thereof. When an acetal form of the acid labile group is used, a high boiling alcohol solvent such as diethylene glycol, propylene glycol, glycerol, 1, 4-butanediol, or 1, 3-butanediol may be added to accelerate the deprotection reaction of the acetal. Among the above organic solvents, 1-ethoxy-2-propanol, PGMEA, diacetone alcohol, cyclohexanone, γ -butyrolactone, and mixtures thereof are recommended because the acid generator is most soluble therein.

An appropriate amount of the organic solvent to be used is 100 to 8,000 parts by weight, more preferably 400 to 5,000 parts by weight, per 100 parts by weight of the base resin (B).

(D) Photoacid generators

The resist composition may comprise (D) a photoacid generator capable of generating a strong acid. As used herein, a "strong acid" is an acid having a higher acidity than the acid produced from the iodonium salt having formula (1). In embodiments where the base resin (B) is adapted to change its solubility under the action of an acid and contains a repeating unit having formula (c1), (c2), (c3), or (c4), pag (d) may or may not be added to the resist composition.

The PAG can be any compound capable of generating an acid upon exposure to high energy radiation. Suitable PAGs include sulfonium salts, iodonium salts, sulfonyldiazomethanes, N-sulfonyloxycarboxydicarboximides, O-arylsulfonyloximes, and O-alkylsulfonyloximes. Suitable examples are described in JP-A2007-145797, paragraphs [0102] - [0113 ]; JP-A2008-111103, paragraphs [0122] - [0142 ]; JP-A2014-001259, paragraphs [0081] - [0092 ]; JP-A2012-041320; JP-A2012-153644; JP-A2012-106986 and JP-A2016-018007. The PAG capable of producing a partially fluorinated sulfonic acid described in the aforementioned patent document is preferably used in the resist composition because the strength and diffusion length of the acid produced are appropriate when the resist composition is applied to EUV or ArF lithography. When PAG is used in combination with an iodonium salt, the cation of PAG is preferably selected from diphenyliodonium, p-fluorophenyliodonium, t-butylphenyl phenyliodonium and di-t-butylphenyl iodonium cations.

Also preferred as pag (d) is a sulfonium salt having formula (2).

In the formula (2), R101、R102And R103Each independently being C which may contain hetero atoms1-C20A monovalent hydrocarbon group. R101、R102And R103Any two of which may be bonded together to form a ring with the sulfur atom to which they are attached. Monovalent hydrocarbon radicals as hereinbefore described for R11To R21Defined and exemplified. Preferably, R101、R102And R103At least one of (a) is an aryl group.

The sulfonium cation of formula (2) is described in JP-A2014-001259, paragraphs [0082] - [0085 ]. Exemplary cations are described in JP-A2007-145797, paragraphs [0027] - [0033 ]; JP-A2010-113209, paragraph [0059 ]; JP-A2012-041320; JP-A2012 and 153644 and JP-A2012 and 106986. Preferred examples of the cation in formula (2) are as exemplified above for the sulfonium cation in formulae (c2) to (c 4).

In the formula (2), X-Is an anion selected from the group consisting of formulae (2A) to (2D).

Figure BDA0002198504720000321

In the formula (2A), RfaIs fluorine or C which may contain hetero atoms1-C40A monovalent hydrocarbon group. The anion of the formula (2A) preferably has a structure of the formula (2A').

Figure BDA0002198504720000322

In the formula (2A'), R111Is hydrogen or trifluoromethyl, preferably trifluoromethyl. R112Is C which may contain hetero atoms1-C35A monovalent hydrocarbon group. Suitable heteroatoms include oxygen, nitrogen, sulfur and halogens, with oxygen being preferred. Among the monovalent hydrocarbon groups, those of 6 to 30 carbon atoms are preferable because high resolution can be obtained in fine pattern formation. Monovalent hydrocarbonThe radicals may be linear, branched or cyclic. Examples include straight-chain or branched alkyl groups such as methyl, ethyl, propyl, isopropyl, butyl, isobutyl, sec-butyl, tert-butyl, pentyl, neopentyl, hexyl, heptyl, 2-ethylhexyl, nonyl, undecyl, tridecyl, pentadecyl, heptadecyl and eicosyl; monovalent saturated alicyclic hydrocarbon groups such as cyclopentyl, cyclohexyl, 1-adamantyl, 2-adamantyl, 1-adamantylmethyl, norbornyl, norbornylmethyl, tricyclodecyl, tetracyclododecyl, tetracyclododecylmethyl, dicyclohexylmethyl; monovalent unsaturated aliphatic hydrocarbon groups such as allyl and 3-cyclohexenyl; and aralkyl groups such as benzyl and diphenylmethyl. Examples of the monovalent hydrocarbon group containing a hetero atom include a tetrahydrofuryl group, a methoxymethyl group, an ethoxymethyl group, a methylthiomethyl group, an acetamidomethyl group, a trifluoromethyl group, (2-methoxyethoxy) methyl group, an acetoxymethyl group, a 2-carboxy-1-cyclohexyl group, a 2-oxopropyl group, a 4-oxo-1-adamantyl group and a 3-oxocyclohexyl group. In these groups, part of the hydrogens may be replaced with moieties containing heteroatoms such as oxygen, sulfur, nitrogen or halogen, or part of the carbons may be replaced with moieties containing heteroatoms such as oxygen, sulfur or nitrogen, so that the groups may contain hydroxy, cyano, carbonyl, ether, ester, sulfonate, carbonate, lactone ring, sultone ring, carboxylic anhydride or haloalkyl moieties.

Anions having the formula (2A') are described in JP-A2007-.

In the formula (2B), Rfb1And Rfb2Each independently being fluorine or C which may contain hetero atoms1-C40A monovalent hydrocarbon group. The monovalent hydrocarbon group may be linear, branched or cyclic. Examples thereof are for R112As exemplified. Preferably, Rfb1And Rfb2Is fluorine or C1-C4A linear fluorinated alkyl group. In addition, Rfb1And Rfb2May be bonded together to form a linkage with the CF to which they are attached2-SO2-N--SO2-CF2-forming a ring. In this case, Rfb1And Rfb2The combination of (a) is preferably a fluorinated ethylene group or a fluorinated propylene group.

In the formula (2C), Rfc1、Rfc2And Rfc3Each independently being fluorine or C which may contain hetero atoms1-C40A monovalent hydrocarbon group. The monovalent hydrocarbon group may be linear, branched or cyclic. Examples are given for R112As exemplified. Preferably, Rfc1、Rfc2And Rfc3Is fluorine or C1-C4A linear fluorinated alkyl group. In addition, Rfc1And Rfc2May be bonded together to form a linkage with the CF to which they are attached2-SO2-C--SO2-CF2-forming a ring. Rfc1And Rfc2The combination of (a) is preferably a fluorinated ethylene group or a fluorinated propylene group.

In the formula (2D), RfdIs C which may contain hetero atoms1-C40A monovalent hydrocarbon group. The monovalent hydrocarbon group may be linear, branched or cyclic. Examples are given for R112It is noteworthy that the compound having the anion of formula (2D) does not have a fluorine at position α relative to the sulfo group, but has two trifluoromethyl groups at position β.

From X-Examples of the anions shown are shown below, but are not limited thereto. Wherein "a" is hydrogen or trifluoromethyl.

Figure BDA0002198504720000351

Exemplary structures of sulfonium salts having formula (2) include any combination of both cations and anions exemplified above, but are not limited thereto.

Another preferred pag (d) is a compound having formula (3).

Figure BDA0002198504720000371

In the formula (3), R201And R202Each independently being C which may contain hetero atoms1-C30A monovalent hydrocarbon group. R203Is C which may contain hetero atoms1-C30A divalent hydrocarbon group. R201、R202And R203Any two of which may be bonded together to form a ring with the sulfur atom to which they are attached. L isAIs a single bond, an ether bond or C which may contain hetero atoms1-C20A divalent hydrocarbon group. X1、X2、X3And X4Each independently is hydrogen, fluorine or trifluoromethyl, with the proviso that X1、X2、X3And X4At least one of which is fluorine or trifluoromethyl.

Among the compounds having the formula (3), the compounds having the formula (3') are preferable.

In the formula (3'), LAAs defined above. A. the2Is hydrogen or trifluoromethyl, preferably trifluoromethyl. R211、R212And R213Each independently hydrogen or C which may contain heteroatoms1-C20A straight, branched or cyclic monovalent hydrocarbon group, p and q are each independently an integer of 0 to 5, and r is an integer of 0 to 4.

In the formula (3) or (3'), LAPreferably an ether bond or QX-O-LA’-O-QYWherein Q isXIs a bond to a benzene ring, QYIs to-CH (A)2)-CF2-SO3 -A bond of (A), and LA’Is C which may contain hetero atoms1-C10A divalent hydrocarbon group.

PAG having the formula (3) or (3') is described in JP-A2011-016746. Examples include sulfonium compounds described in JP-A2011-016746 and sulfonium compounds described in JP-A2015-214634, paragraphs [0149] - [0150 ].

Examples of PAGs having formula (3) are shown below, but are not limited thereto. Wherein A is2As defined above.

Figure BDA0002198504720000381

Among the foregoing PAGs, those having an anion of formula (2A') or (2D) are particularly preferred because of reduced acid diffusion and high solubility in resist solvents. In addition, the compounds having formula (3') are particularly preferred because of extremely reduced acid diffusion.

The PAG as component (D) is preferably used in an amount of 0 to 40 parts by weight per 100 parts by weight of the base resin as component (B), and when added, preferably in an amount of 0.5 to 30 parts by weight, more preferably 0.5 to 20 parts by weight. An amount within this range eliminates any risk, including deteriorated resolution and foreign particles after resist development or during resist film stripping.

(E) Surface active agent

The resist composition may further comprise (E) a surfactant which is generally used for facilitating the coating operation. The surfactant may be used in conventional amounts. For the surfactant, reference should be made to those compounds described in JP-A2010-215608 and JP-A2011-016746.

Component (E) is typically a surfactant that is insoluble or substantially insoluble in water and soluble in an alkaline developer, or a surfactant (hydrophobic resin) that is insoluble or substantially insoluble in water and an alkaline developer.

Although many examples of surfactants that are insoluble or substantially insoluble in water and in alkaline developers are described in the patent literature cited herein, a preferred example is fluorochemical surfactant FC-4430(3M),

Figure BDA0002198504720000393

E1004(Nissin Chemical Co.,Ltd.),s-381, KH-20, and KH-30(AGCSeimi Chemical Co., Ltd.). Partially fluorinated oxetane ring-opening polymers having the formula (surf-1) may also be used.

Here, the condition is that R, Rf, a, B, C, m and n are applicable only to the formula (surf-1) independently of the description thereof except for the surfactant. R is divalent to tetravalent C2-C5An aliphatic group. Examples of divalent aliphatic groups include ethylene, 1, 4-butylene, 1, 2-propylene, 2-dimethyl-1, 3-propylene, and 1, 5-pentylene. Exemplary trivalent and tetravalent groups are shown below.

Figure BDA0002198504720000392

Here, the dotted line represents a bond. These formulae are partial structures derived from glycerol, trimethylolethane, trimethylolpropane and pentaerythritol, respectively. Of these, 1, 4-butylene and 2, 2-dimethyl-1, 3-propylene are preferably used.

Rf is trifluoromethyl or pentafluoroethyl, and is preferably trifluoromethyl. The letter m is an integer of 0 to 3, n is an integer of 1 to 4, and the sum of m and n, which represents the valence of R, is an integer of 2 to 4. "A" is equal to 1, B is an integer from 2 to 25, and C is an integer from 0 to 10. Preferably, B is an integer from 4 to 20, and C is 0 or 1. It is to be noted that the formula (surf-1) does not specify the arrangement of the respective constituent units, but they may be arranged in blocks or randomly. For the preparation of surfactants in the form of partially fluorinated oxetane ring-opening polymers, reference should be made, for example, to USP 5,650,483.

When ArF immersion lithography is applied to a resist composition in the absence of a resist protective film, a surfactant that is insoluble or substantially insoluble in water and soluble in an alkaline developer is useful. In this embodiment, the surfactant tends to separate on the resist surface after spin coating to achieve the function of minimizing water penetration or dissolution. The surfactant is also effective in preventing the water-soluble components from being dissolved away from the resist film to minimize any damage to the exposure tool. The surfactant becomes soluble during exposure and alkaline development after PEB, and thus little or no foreign particles are formed that would become defects. Preferred surfactants are polymeric surfactants that are insoluble or substantially insoluble in water, but soluble in alkaline developers, which are also referred to as "hydrophobic resins" in this sense, and which are particularly water repellent and enhance water slip.

Suitable polymeric surfactants include those comprising a repeating unit of at least one selected from the group consisting of formulas (4) to (6).

Figure BDA0002198504720000401

In formulae (4) to (6), RBIs hydrogen, fluorine or C1-C4An alkyl group or a fluoroalkyl group. R301Is hydrogen, -R301A-CO2H or-R301A-OH, wherein R301AIs a divalent organic group that may contain heteroatoms. R302Is C2-C20A fluorinated alkyl group. R303Is hydrogen, methyl or trifluoromethyl. R304Is methylene or ether bond. R305Is C2-C20A fluorinated alkyl group.

From RBIs represented by C1-C4The alkyl or fluoroalkyl group is preferably linear or branched. From R301AThe divalent organic group represented is preferably C which may contain an oxygen atom1-C20An alkanediyl group. From R302Or R305The fluorinated alkyl groups represented may be linear, branched or cyclic.

The polymeric surfactant preferably has a Mw of 1,000 to 500,000, more preferably 2,000 to 30,000.

In the polymeric surfactant, if x is the share (mol%) of the repeating unit having formula (4), y is the share (mol%) of the repeating unit having formula (5), and z is the share (mol%) of the repeating unit having formula (6), x, y, and z are preferably in the following ranges: x is more than or equal to 0 and less than 1, y is more than 0 and less than 1, z is more than or equal to 0 and less than or equal to 1, and x + y + z is more than or equal to 0 and less than or equal to 1.

As the polymer type surfactant, JP-A2007-.

The polymeric surfactant is preferably formulated in an amount of 0.001 to 20 parts by weight, and more preferably 0.01 to 10 parts by weight, per 100 parts by weight of the base resin (B).

(F) A quencher different from component (A)

A quencher other than component (a), i.e., a quencher other than the iodonium salt having formula (1), may be added to the resist composition. As used herein, "quencher" refers to a compound that is capable of inhibiting the rate of diffusion as the acid generated by the PAG diffuses within the resist film.

Suitable quenchers include amine compounds, typically primary, secondary and tertiary amine compounds, especially amine compounds having hydroxyl groups, ether linkages, ester linkages, lactone rings, cyano groups or sulfonate linkages as described in JP-A2008-111103, paragraphs [0146] - [0164] (USP7,537,880), and primary or secondary amine compounds having carbamate groups as described in JP 3790649. Such protected amine compounds are effective, particularly when the resist composition contains a base labile component.

Other examples of quencher (F) include compounds having formula (7) (i.e., onium salt of sulfonic acid that is not fluorinated at position α) and compounds having formula (8) (i.e., onium salt of carboxylic acid).

Figure BDA0002198504720000411

In the formula (7), R401Is hydrogen or C which may contain hetero atoms1-C40Monovalent hydrocarbon groups, but excluding groups in which the hydrogen bonded to the carbon atom at position α with respect to the sulfo group is substituted with a fluorine or fluoroalkyl groupAlkenyl, aryl, aralkyl, and aryloxyalkyl. Suitable alkyl groups include methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, cyclopentyl, cyclohexyl, 2-ethylhexyl, cyclopentylmethyl, cyclopentylethyl, cyclopentylbutyl, cyclohexylmethyl, cyclohexylethyl, cyclohexylbutyl, norbornyl, tricyclo [5.2.1.0 ] s2,6]Decyl, adamantyl, and adamantylmethyl. Suitable alkenyl groups include vinyl, allyl, propenyl, butenyl, hexenyl, and cyclohexenyl. Suitable aryl groups include phenyl, naphthyl, thienyl, 4-hydroxyphenyl, alkoxyphenyl such as 4-methoxyphenyl, 3-methoxyphenyl, 2-methoxyphenyl, 4-ethoxyphenyl, 4-tert-butoxyphenyl and 3-tert-butoxyphenyl; alkylphenyl such as 2-methylphenyl, 3-methylphenyl, 4-ethylphenyl, 4-tert-butylphenyl, 4-n-butylphenyl, 2, 4-dimethylphenyl and 2,4, 6-triisopropylphenyl; alkyl naphthyl groups such as methyl naphthyl and ethyl naphthyl groups; alkoxynaphthyl such as methoxynaphthyl, ethoxynaphthyl, n-propoxytthyl and n-butoxynaphthyl; dialkylnaphthyl groups such as dimethylnaphthyl and diethylnaphthyl groups; and dialkoxynaphthyl groups such as dimethoxynaphthyl group and diethoxynaphthyl group. Suitable aralkyl groups include benzyl, 1-phenylethyl and 2-phenylethyl. Suitable aryloxyalkyl groups include 2-aryl-2-oxoethyl groups such as 2-phenyl-2-oxoethyl, 2- (1-naphthyl) -2-oxoethyl, and 2- (2-naphthyl) -2-oxoethyl. In these groups, part of the hydrogens may be replaced with moieties containing heteroatoms such as oxygen, sulfur, nitrogen or halogen, and part of the carbons may be replaced with moieties containing heteroatoms such as oxygen, sulfur or nitrogen, so that the groups may contain hydroxyl moieties, cyano moieties, carbonyl moieties, ether linkages, ester linkages, sulfonate linkages, carbonate linkages, lactone rings, sultone rings, carboxylic anhydrides or haloalkyl moieties.

In the formula (8), R402Is C which may contain hetero atoms1-C40A monovalent hydrocarbon group. Monovalent hydrocarbon radicals R402Examples of (A) are as above for the monovalent hydrocarbon radicals R401As exemplified. Also included are fluorinated alkyl groupsSuch as trifluoromethyl, trifluoroethyl, 2,2, 2-trifluoro-1-methyl-1-hydroxyethyl, 2,2, 2-trifluoro-1- (trifluoromethyl) -1-hydroxyethyl, aryl groups such as phenyl, tolyl, xylyl, 4-tert-butylphenyl and naphthyl, and fluorinated aryl groups such as pentafluorophenyl and 4-trifluoromethylphenyl.

For the onium sulfonate having the formula (7) and the onium carboxylate having the formula (8), reference should be made to JP-A2008-158339 and JP-A2010-155824.

Preferred examples of the anion in formula (7) are shown below, but not limited thereto.

Figure BDA0002198504720000431

Preferred examples of the anion in formula (8) are shown below, but not limited thereto.

Figure BDA0002198504720000441

In formulae (7) and (8), Mq+Is an onium cation, which is preferably selected from the group consisting of cations having the formulae (9) to (11).

Figure BDA0002198504720000442

In formulae (9) to (11), R411To R419Each independently being C which may contain hetero atoms1-C40A monovalent hydrocarbon group. R411And R412Or R416And R417A pair may be bonded together to form a ring with the sulfur or nitrogen atom to which they are attached.

Examples of the sulfonium cation of formula (9) are as exemplified above as the cations in formulae (c2) to (c 4). Examples of iodonium cations of formula (10) are as exemplified above as the cations in the iodonium salts of formula (1). Examples of ammonium cations having formula (11) are shown below, but are not limited thereto.

Figure BDA0002198504720000451

Exemplary structures of onium salts having formula (7) or (8) include any combination of anions and cations, both as exemplified above. These onium salts can be readily obtained by ion exchange reactions using any of the well-known organic chemistry techniques. For the ion exchange reaction, reference may be made, for example, to JP-A2007-145797.

In a system using a mixture of onium salts capable of producing strong acids (e.g., α position fluorinated sulfonic acid) and onium salts capable of producing weak acids (e.g., α position non-fluorinated sulfonic acid or carboxylic acid), if a strong acid produced by a PAG on exposure to high energy radiation collides with an unreacted onium salt having a weak acid anion, salt exchange occurs, thereby releasing and forming an onium salt having a strong acid anion.

Especially, because of the fact that Mq therein+The onium salt having formula (7) or (8) which is a sulfonium cation having formula (9) or an iodonium cation having formula (10) is photodegradable, so that these moieties receiving high light intensity decrease in quenching ability and the concentration of a strong acid derived from PAG increases. This enables formation of a pattern with improved contrast in the exposed area, further improved depth of focus (DOF), and satisfactory dimensional control.

If the PAG capable of generating a strong acid is an onium salt, exchange from a strong acid generated upon exposure to high-energy radiation to a weak acid as above may be performed, but collision of the weak acid generated upon exposure to high-energy radiation with an unreacted onium salt capable of generating a strong acid induces salt exchange never to occur. This is because the onium cation can form an ion pair with a stronger acid anion.

While the iodonium salts of the present invention act primarily as quenchers, various lithographic performance factors are improved due to the aforementioned effects.

In the case where the acid labile group is an acetal group which is very sensitive to acid, the acid used to eliminate the protecting group need not necessarily be α -fluorinated sulfonic acid, imide acid or methide acid (methide acid). sometimes even an over-protection reaction can be carried out with a α -position unfluorinated sulfonic acid.

As the quencher or component (F), a betaine-type quencher and the aforementioned onium salt-type quencher can be used. Suitable betaine-type quenchers include well known compounds such as diphenyliodonium-2-carboxylate.

Photodegradable onium salts having nitrogen-containing substituents can also be used as quenchers or components (F). This compound acts as a quencher in the unexposed areas, but as a so-called photodegradable base in the exposed areas, since it loses the quencher function in the exposed areas due to its neutralization with the acid generated by itself. The use of a photodegradable base can further improve the contrast between exposed and unexposed regions. As regards the photodegradable base, reference may preferably be made, for example, to JP-A2009-.

The amount of the component (F) used is preferably 0 to 40 parts by weight, and when added, 0.1 to 40 parts by weight, more preferably 0.5 to 20 parts by weight, per 100 parts by weight of the base resin (B). As long as the amount of component (F) is at most 40 parts, the problem of foreign particles after development of the resist or during stripping is avoided. The introduction of the quencher facilitates the adjustment of the photosensitivity of the resist and suppresses the acid diffusion rate within the resist film, resulting in better resolution. In addition, it suppresses changes in photosensitivity after exposure and reduces dependence on the substrate and environment, and improves exposure latitude and pattern profile. The introduction of the quencher is also effective in improving adhesion to the substrate. The quenchers (F) may be used individually or in admixture.

(G) Other Components

The resist composition may further comprise (G) another component such as a compound which decomposes with an acid to produce another acid (i.e. an acid booster compound), an organic acid derivative, a fluorinated alcohol, a crosslinking agent, a compound having an Mw of up to 3,000 which changes its solubility under the action of an acid in a developer (i.e. a solubility inhibitor) and an acetylenic alcohol. In particular, the acid-amplifier compounds are described in JP-A2009-269953 and JP-A2010-215608, and are preferably used in an amount of 0 to 5 parts by weight, more preferably 0 to 3 parts by weight, per 100 parts by weight of the base resin (B). The amount of the acid booster compound within this range makes acid diffusion control easy and does not cause deterioration in resolution and pattern profile. As for the remaining additives, reference should be made to JP-A2008-122932, paragraphs [0155] - [0182 ]; JP-A2009-269953 and JP-A2010-215608.

Method of producing a composite material

A further embodiment of the present invention is a pattern forming method using the resist composition defined above. A pattern may be formed from the resist composition using known photolithography methods. The preferred method comprises the steps of: the method includes applying a resist composition to a substrate to form a resist film, exposing selected areas of the resist film to a KrF excimer laser, ArF excimer laser, EB or EUV, and developing the exposed resist film with a developer. Any desired steps may be added to the method if necessary.

The substrate used herein may be a substrate for integrated circuit fabrication, e.g. Si, SiO2SiN, SiON, TiN, WSi, BPSG, SOG, organic antireflection film, etc., or a substrate for mask circuit fabrication, such as Cr, CrO, CrON, MoSi2、SiO2And the like.

The resist composition is applied to the substrate by a suitable coating technique such as spin coating. The coating is prebaked on a hot plate at a temperature of 60 to 180 ℃ for 10 to 600 seconds, preferably 70 to 150 ℃ for 15 to 300 seconds. The resulting resist film preferably has a thickness of 10 to 2,000nm, more preferably 20 to 500 nm.

The resist film is then exposed in a patterned manner to an excimer laser, EUV or EB. Using KrF excimer laser, ArF excimer laser or a laser having a wavelength of 13.5nmIn EUV, the resist film is passed through a mask having a desired pattern, preferably at 1 to 200mJ/cm2More preferably 10 to 100mJ/cm2Exposure to the dose of (c). When EB is used, it may be directly or through a mask having a desired pattern, preferably at 1 to 300. mu.C/cm2More preferably 10 to 200. mu.C/cm2The dose writing pattern of (1).

The exposure may be performed by a conventional photolithography method, and an immersion photolithography method in which a liquid is held between a mask and a resist film may be used if necessary. In immersion lithography, a liquid having a reflectance of at least 1.0 is preferably held between the resist film and the projection lens. The liquid is typically water, and in this case, a protective film insoluble in water may be formed on the resist film.

Although the role of the water-insoluble protective film used in the immersion lithography is to prevent any component from dissolving out of the resist film and to improve water slip on the film surface, it is generally divided into two. The first is an organic solvent-strippable protective film which must be stripped with an organic solvent in which the resist film is insoluble prior to alkaline development. The second is an alkali-soluble protective film which is soluble in an alkali developer so that it can be removed simultaneously with the removal of the dissolved region of the resist film. The second protective film is preferably a material containing, as a base, a polymer having a 1,1,1,3,3, 3-hexafluoro-2-propanol group (which is insoluble in water and soluble in an alkaline developer) in an alcohol solvent of at least 4 carbon atoms, an ether solvent of 8 to 12 carbon atoms, or a mixture thereof. Alternatively, the aforementioned surfactant, which is insoluble in water and soluble in an alkaline developer, may be dissolved in an alcohol solvent of at least 4 carbon atoms, an ether solvent of 8 to 12 carbon atoms, or a mixture thereof, to thereby form a material from which the second protective film is formed.

After the exposure, the resist film may be baked at 60 to 150 ℃ for 1 to 5 minutes, preferably at 80 to 140 ℃ for 1 to 3 minutes, for example, on a hot plate.

The resist film is then developed with a developer in the form of an aqueous base solution, for example, an aqueous 0.1 to 5 wt.%, preferably 2 to 3 wt.% tetramethylammonium hydroxide (TMAH) solution or an organic solvent developer by conventional techniques such as dipping, spin-on immersion (pullle) or spraying techniques for 0.1 to 3 minutes, preferably 0.5 to 2 minutes. In this manner, a desired resist pattern is formed on the substrate.

As for the developer used in the pattern forming method of the present invention, the aqueous alkali solution may be an aqueous solution of the above-mentioned TMAH or another aqueous alkali solution, as described in JP-A2015-180748, paragraphs [0148] - [0149 ]. Preferred is an aqueous solution of 2 to 3 wt% TMAH.

In the organic solvent development, the organic solvent used as the developer is preferably selected from the group consisting of 2-octanone, 2-nonanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-hexanone, 3-hexanone, diisobutyl ketone, methylcyclohexanone, acetophenone, methylacetophenone, propyl acetate, butyl acetate, isobutyl acetate, amyl acetate, isoamyl acetate, butenyl acetate, propyl formate, butyl formate, isobutyl formate, pentyl formate, isoamyl formate, methyl valerate, methyl pentenoate, methyl crotonate, ethyl crotonate, methyl propionate, ethyl 3-ethoxypropionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, pentyl lactate, isoamyl lactate, methyl 2-hydroxyisobutyrate, ethyl 2-hydroxyisobutyrate, Methyl benzoate, ethyl benzoate, phenyl acetate, benzyl acetate, methyl phenylacetate, benzyl formate, phenethyl formate, methyl 3-phenylpropionate, benzyl propionate, ethyl phenylacetate, and 2-phenylethyl acetate. These organic solvents may be used alone or in a mixture of two or more.

Any desired step may be added to the pattern forming method. For example, after the resist film is formed, a step of rinsing with pure water (post-immersion) may be introduced to extract an acid generator or the like from the film surface or to wash away particles. After exposure, a rinse (post-soak) step may be introduced to remove any water remaining on the film after exposure.

In addition, a double patterning method may be used for the pattern formation. The double patterning method includes a trench process of processing a lower layer into a 1:3 trench pattern through a first step of exposure and etching, transferring a position, and forming the 1:3 trench pattern through a second step of exposure to form a 1:1 pattern; the line process is to process a first lower layer into a 1:3 separate left pattern by a first step of exposure and etching, transfer the position, process a second lower layer formed under the first lower layer through the 1:3 separate left pattern by a second step of exposure to form a half-pitch 1:1 pattern.

Exposure by bipolar illumination of the X-direction and Y-direction line patterns provides the highest contrast of light when the hole patterns are formed by negative development using an organic solvent developer. Contrast can be further increased by combining two dipole illuminations with X-direction and Y-direction line patterns of s-polarized illumination. These pattern forming methods are described in JP-A2011-221513.

The hole or trench pattern after development may be shrunk by heat flow, chemical shrink assisted Resolution Enhanced Lithography (RELACS), or directed self-assembly (DSA) methods. By applying thereto a shrinking agent and baking, the shrinking agent can undergo crosslinking at the resist surface due to diffusion of an acid catalyst from the resist layer during baking, thereby shrinking the hole pattern, and the shrinking agent can adhere to the sidewalls of the hole pattern. The baking is carried out at 70 to 180 ℃, preferably 80 to 170 ℃ for a time of 10 to 300 seconds. Excess shrink agent is removed and the hole pattern is shrunk.

By the pattern forming method using the resist composition containing the iodonium salt of the present invention, a fine-size pattern improved in terms of lithographic performance factors including rectangularity, MEF, CDU and LWR can be easily formed.

64页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:带有侧线采出的热集成萃取精馏分离乙酸乙酯-乙醇的节能工艺

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类