Three-dimensional memory device having drain select gate electrodes spaced apart by a pitch and method of fabricating the same

文档序号:1510532 发布日期:2020-02-07 浏览:19次 中文

阅读说明:本技术 具有以间距间隔开的漏极选择栅极电极的三维存储器器件及其制造方法 (Three-dimensional memory device having drain select gate electrodes spaced apart by a pitch and method of fabricating the same ) 是由 J·凯 J·阿尔斯梅尔 S·亚达 A·赛 S·长峰 T·奥里莫托 T·张 于 2018-05-17 设计创作,主要内容包括:本发明提供了一种存储器堆叠结构阵列,所述存储器堆叠结构阵列延伸穿过衬底上方的绝缘层和导电层的交替堆叠。包括圆筒形电极部分的漏极选择层级组件阵列在所述交替堆叠上方形成为具有与所述存储器堆叠结构阵列相同的周期性。可将所述漏极选择层级组件用作自对准模板来在一对相邻的漏极选择层级组件之间形成包括介电材料的漏极选择层级隔离条带。另选地,圆筒形电极部分可形成在每个存储器堆叠结构的上部部分周围。在形成所述漏极选择层级隔离条带之后,在圆筒形电极部分上形成条带电极部分。(An array of memory stack structures extends through an alternating stack of insulating layers and conductive layers over a substrate. An array of drain select level components including cylindrical electrode portions is formed over the alternating stacks with the same periodicity as the array of memory stack structures. The drain select level components may be used as a self-aligned template to form drain select level isolation strips comprising dielectric material between a pair of adjacent drain select level components. Alternatively, a cylindrical electrode portion may be formed around an upper portion of each memory stack structure. After forming the drain select level isolation stripes, stripe electrode portions are formed over cylindrical electrode portions.)

1. A three-dimensional memory device, comprising:

an alternating stack of insulating layers and conductive layers positioned over a substrate;

an array of memory stack structures extending through the alternating stack and arranged in rows extending along a first horizontal direction and spaced apart along a second horizontal direction, wherein each of the memory stack structures includes a memory film and a memory level channel portion contacting an inner sidewall of the memory film;

an array of drain select level components overlying the alternating stack and having a same periodicity as the array of memory stack structures along the first and second horizontal directions, wherein each of the drain select level components includes a drain select level channel portion that contacts a respective memory level channel portion;

drain select gate electrodes laterally surrounding respective rows of drain select level components; and

a drain select level isolation strip comprising at least one dielectric material and located between a pair of adjacent drain select gate electrodes.

2. The three-dimensional memory device of claim 1, wherein one of the drain select gate electrodes comprises:

a strip electrode portion comprising a pair of longitudinal side walls extending generally along the first horizontal direction; and

a plurality of cylindrical electrode portions laterally surrounding a respective one of the drain select level channel portions.

3. The three-dimensional memory device of claim 2, further comprising an array of cylindrical gate dielectrics between a respective one of the cylindrical electrode portions and a respective one of the drain select level channel portions.

4. The three-dimensional memory device of claim 2, wherein the drain select level isolation stripes comprise perforated dielectric stripe portions comprising two rows of cylindrical openings therethrough, wherein each of the cylindrical openings laterally surrounds a respective one of a subset of the drain select level components, the subset being arranged in two rows extending along the first horizontal direction.

5. The three-dimensional memory device of claim 4, wherein the drain select level isolation stripes directly contact each of the drain select level channel portions that extend through the cylindrical openings in the drain select level isolation stripes.

6. The three-dimensional memory device of claim 4, wherein the drain select level isolation strips further comprise lower dielectric strip portions underlying the perforated dielectric strip portions and contacting sidewalls of a subset of the cylindrical electrode portions.

7. A two-dimensional memory device according to claim 6, wherein the lower dielectric strip portion comprises two longitudinal sidewalls, wherein each of the two longitudinal sidewalls of the lower dielectric strip portion comprises a respective alternating sequence of flat sidewall segments and concave sidewall segments.

8. The two-dimensional memory device of claim 7, wherein each cylindrical electrode portion laterally surrounding a drain select level channel portion within the subset of the drain select level components contacts a respective concave sidewall section of the lower dielectric strip portion.

9. The three-dimensional memory device of claim 7, wherein the perforated dielectric strip portion comprises two longitudinal sidewalls, wherein each of the two longitudinal sidewalls of the perforated dielectric strip portion comprises a respective alternating sequence of flat sidewall segments and convex sidewall segments.

10. The three-dimensional memory device of claim 9, wherein the planar sidewall segments of the perforated dielectric strip portion vertically coincide with the planar sidewall segments of the lower dielectric strip portion.

11. The three-dimensional memory device of claim 7, wherein the drain select level spacer further comprises an upper dielectric strip portion overlying the perforated dielectric strip portion and having a pair of longitudinal sidewalls, wherein each of the pair of longitudinal sidewalls of the upper dielectric strip portion comprises a respective alternating sequence of flat sidewall segments and concave sidewall segments.

12. The three-dimensional memory device of claim 1, further comprising an array of drain regions in contact with an upper end of a respective one of the drain select level channel portions.

13. The three-dimensional memory device of claim 1, further comprising an insulating spacer layer contacting a topmost surface of each of the memory films, wherein each of the drain select level channel portions extends vertically through a respective opening in the insulating spacer layer.

14. The three-dimensional memory device of claim 13, wherein the insulating spacer layer contacts a bottom surface of each of the drain select gate electrodes, and wherein the drain select level channel portion is formed directly on the sidewalls of the memory level channel portion.

15. A method of forming a three-dimensional memory device, comprising:

forming an alternating stack of insulating layers and spacer material layers over a substrate, wherein the spacer material layers are formed as or subsequently replaced by conductive layers;

forming an array of memory stack structures extending through the alternating stack and arranged in rows extending along a first horizontal direction and spaced apart along a second horizontal direction, wherein each of the memory stack structures includes a memory film and a memory level channel portion contacting inner sidewalls of the memory film;

forming an array of drain select level components having the same periodicity as the array of memory stack structures above the alternating stack in the first and second horizontal directions, wherein each of the drain select level components comprises a drain select level channel portion that contacts a respective memory level channel portion;

forming drain select gate electrodes laterally surrounding respective rows of drain select level components; and

forming drain select level isolation strips comprising at least one dielectric material and located between a pair of adjacent drain select gate electrodes.

16. The method of claim 15, wherein the drain select gate electrode is formed by:

forming a plurality of cylindrical electrode portions over the alternating stack, wherein each of the drain select level channel portions is formed within a respective one of the plurality of cylindrical electrode portions; and

forming strip electrode portions arranged in rows extending in a first horizontal direction on respective subsets of the plurality of cylindrical electrode portions.

17. The method of claim 16, further comprising:

forming a first dielectric template layer over the alternating stack;

forming an array of cylindrical openings through the first dielectric template layer; and

depositing and anisotropically etching a layer of gate electrode material in the array of cylindrical openings, wherein remaining portions of the layer of gate electrode material comprise the plurality of cylindrical electrode portions.

18. The method of claim 17, wherein:

a topmost surface of the plurality of cylindrical electrode portions is recessed below a topmost surface of the first dielectric template layer; and is

The method also includes forming a sacrificial pedestal within an unfilled volume of the array of cylindrical openings after forming the plurality of cylindrical electrode portions.

19. The method of claim 18, further comprising:

recessing the first dielectric template layer after forming the sacrificial pedestal;

trimming upper portions of the plurality of cylindrical electrode portions above the recessed top surface of the first dielectric template layer; and

after forming the plurality of cylindrical electrode portions, a second dielectric template layer is formed around the sacrificial pedestal.

20. The method of claim 18, further comprising:

removing the sacrificial base, wherein inner sidewalls of the plurality of cylindrical electrode portions are physically exposed; and

forming a plurality of gate dielectrics on the inner sidewalls of the plurality of cylindrical electrode portions.

21. The method of claim 20, wherein the drain select level channel portion is formed by depositing a conformal semiconductor material layer on inner sidewalls of the plurality of gate dielectrics.

22. The method of claim 16, further comprising:

forming an insulating spacer layer on the alternating stack, wherein the drain select gate electrode is formed on a top surface of the insulating spacer layer; and

forming an opening through the insulating spacer layer after forming the plurality of cylindrical electrode portions and before forming the stripe-shaped electrode portions,

wherein the drain select level channel portion is formed through the opening through the insulating spacer layer.

23. The method of claim 22, wherein:

after completing the steps of forming the array of memory stack structures, forming the array of drain select level components;

physically exposing sidewalls of the memory level channel portion after forming the opening through the insulating spacer layer; and

the drain select level channel portion is formed directly on the sidewalls of the memory level channel portion.

24. The method of claim 15, wherein the drain select level isolation strips comprise perforated dielectric strip portions comprising two rows of cylindrical openings therethrough, wherein each of the cylindrical openings laterally surrounds a respective one of a subset of the drain select level channel portions, the subset being arranged in two rows extending along the first horizontal direction.

25. A three-dimensional memory device, comprising:

an alternating stack of insulating layers and conductive layers positioned over a substrate;

an array of memory stack structures extending through the alternating stack and arranged in rows extending in a first horizontal direction at a first pitch and in a second horizontal direction with each pair of adjacent rows spaced apart at a second pitch, wherein each of the memory stack structures comprises a vertical semiconductor channel, a memory film, and a gate dielectric over a top surface of the memory film;

drain select gate electrodes laterally surrounding respective rows of the gate dielectric; and

a drain select level isolation strip comprising a dielectric material between a pair of adjacent said drain select gate electrodes;

wherein one of the drain select gate electrodes comprises a strip electrode portion comprising a pair of longitudinal sidewalls extending generally along the first horizontal direction and a plurality of cylindrical electrode portions laterally surrounding a respective one of the gate dielectrics.

26. The three-dimensional memory device of claim 25, wherein the gate dielectric contacts a top surface of the memory film.

27. The three-dimensional memory device of claim 26, wherein:

the memory film comprises a lateral stack of a blocking dielectric, a charge storage element, and a tunneling dielectric from outside to inside; and is

The gate dielectric includes a material different from a material of the charge storage element.

28. The three-dimensional memory device of claim 27, wherein:

the memory film and the gate dielectric directly contact outer sidewalls of the vertical semiconductor channel; and is

The gate dielectric contacts an inner sidewall of a corresponding one of the plurality of cylindrical electrode portions.

29. The three-dimensional memory device of claim 27, wherein a top surface of the memory film directly contacts a bottom surface of a respective one of the plurality of cylindrical electrode portions.

30. The three-dimensional memory device of claim 26, wherein the drain select level isolation stripes comprise:

a flat sidewall section contacting a flat sidewall of the strip electrode portion of the one of the drain select gate electrodes; and

concave sidewall sections contacting outer sidewalls of the plurality of cylindrical electrode portions of the one of the drain select gate electrodes.

31. The three-dimensional memory device of claim 26, wherein each gate dielectric laterally surrounded by the plurality of cylindrical electrode portions contacts the drain select level isolation stripes.

32. The three-dimensional memory device of claim 26, wherein the drain select level isolation stripes comprise two rows of cylindrical openings therethrough, wherein each of the cylindrical openings laterally surrounds a respective one of a subset of the gate dielectrics, the subset being arranged in two rows extending along the first horizontal direction.

33. The three-dimensional memory device of claim 32, wherein:

a first subset of the cylindrical electrode portions underlie and have sidewalls vertically coincident with sidewalls of the overhanging portions of the drain select level isolation stripes; and is

A second subset of the cylindrical electrode portions underlies a tubular dielectric spacer and has sidewalls vertically coincident with sidewalls of the tubular dielectric spacer.

34. The three-dimensional memory device of claim 33, wherein:

the drain select level isolation strips and the tubular dielectric spacers comprise the same dielectric material; and is

The drain select level isolation strips and the top surface of the tubular dielectric spacers are in the same horizontal plane.

35. The three-dimensional memory device of claim 26, wherein:

a first subset of the plurality of cylindrical electrode portions contacts the drain select level isolation stripes; and is

A second subset of the plurality of cylindrical electrode portions has respective cylindrical outer sidewalls in contact with and completely surrounding the strip-shaped electrode portions.

36. The three-dimensional memory device of claim 25, further comprising:

an array of drain regions contacting a top portion of a respective one of the vertical semiconductor channels; and

an array of etch mask rings laterally surrounding a respective one of the drain regions and located over the drain select gate electrode and the drain select level isolation stripes.

37. The three-dimensional memory device of claim 36, wherein each of the etch mask rings contacts a top surface of a respective one of the gate dielectrics and an outer sidewall of a respective one of the vertical semiconductor channels.

38. A method of forming a three-dimensional memory device, comprising:

forming an alternating stack of insulating layers and spacer material layers over a substrate, wherein the spacer material layers are formed as or subsequently replaced by conductive layers;

forming at least one sacrificial matrix layer over the alternating stack;

forming an array of memory stack structures extending through the at least one sacrificial matrix layer and the alternating stack and arranged in rows extending at a first pitch along a first horizontal direction, wherein the memory stack structures are spaced apart at a second pitch along a second horizontal direction for each pair of adjacent rows, and wherein each of the memory stack structures comprises a vertical semiconductor channel and a memory film;

physically exposing an upper portion of the memory stack structure by removing the at least one sacrificial matrix layer in a manner selective to the alternating stack;

forming a drain select gate electrode around an upper portion of the vertical semiconductor channel; and

forming drain select level isolation strips comprising a dielectric material, wherein the drain select level isolation strips are formed between a pair of adjacent the drain select gate electrodes.

39. The method of claim 38, further comprising:

removing an upper portion of the memory film in each memory stack structure during or after removing the at least one sacrificial matrix layer; and

forming a gate dielectric on the vertical semiconductor channel, wherein the drain select gate electrodes are formed around respective subsets of the gate dielectric.

40. The method of claim 39, wherein the drain select gate electrode is formed by:

forming a plurality of cylindrical electrode portions around a respective one of the vertical semiconductor channels; and

the strip electrode portions are formed on respective subsets of the cylindrical electrode portions, the respective subsets being arranged in rows extending in the first horizontal direction.

41. The method of claim 40, wherein:

forming the plurality of cylindrical electrode portions by depositing and anisotropically etching a conformal layer of conductive material around an upper portion of the vertical semiconductor channels; and

each of the plurality of cylindrical electrode portions laterally surrounds a respective gate dielectric.

42. The method of claim 41, further comprising:

forming an etch mask layer around remaining portions of the conformal conductive material layer after anisotropically etching the conformal conductive material layer; and

trimming an upper portion of the remaining portion of the conformal conductive material layer to form the plurality of cylindrical electrode portions.

43. The method of claim 40, further comprising:

forming a dielectric template layer comprising the dielectric material of the drain select level isolation strips on outer sidewalls of the plurality of cylindrical electrode portions; and

patterning the dielectric template layer into the drain select level isolation strips.

44. The method of claim 43, further comprising:

depositing a conductive material on sidewalls of the drain select level isolation stripes and on outer sidewalls of the plurality of cylindrical electrode portions;

forming the strip electrode part by vertically recessing the conductive material;

forming an array of drain regions contacting a top portion of a respective one of the vertical semiconductor channels; and

after forming the layer of dielectric fill material and before patterning the layer of dielectric fill material, forming an array of etch mask rings laterally surrounding a respective one of the drain regions,

wherein the dielectric fill material layer is patterned into the drain select level isolation strips using a combination of a photoresist layer and the array of etch mask rings as an etch mask.

Technical Field

The present disclosure relates generally to the field of semiconductor devices and, in particular, to a three-dimensional memory device including spaced-apart select gate electrodes having the same periodicity as a memory stack structure and a method of fabricating the same.

Background

Three-dimensional vertical NAND strings With one bit per Cell are disclosed in an article entitled "Novel ultra high Density Memory With a Stacked-Surrounding Gate Transistor (S-SGT) Structured Cell", IEDM proc. (2001)33-36 by t.endoh et al.

Disclosure of Invention

According to an aspect of the present disclosure, there is provided a three-dimensional memory device including: an alternating stack of insulating layers and conductive layers positioned over a substrate; an array of memory stack structures extending through the alternating stack and arranged in rows extending along a first horizontal direction and spaced apart along a second horizontal direction, wherein each memory stack structure includes a memory film and a memory level channel portion contacting an inner sidewall of the memory film; an array of drain select level components overlying the alternating stack and having a same periodicity as the array of memory stack structures in the first and second horizontal directions, wherein each drain select level component includes a drain select level channel portion contacting a respective memory level channel portion; drain select gate electrodes laterally surrounding respective rows of drain select level components; and a drain select level isolation strip comprising at least one dielectric material and located between a pair of adjacent drain select gate electrodes.

According to another aspect of the present disclosure, there is provided a method of forming a three-dimensional memory device, the method including: forming an alternating stack of insulating layers and spacer material layers over a substrate, wherein the spacer material layers are formed as or subsequently replaced by conductive layers; forming an array of memory stack structures extending through the alternating stack and arranged in rows extending along a first horizontal direction and spaced apart along a second horizontal direction, wherein each memory stack structure includes a memory film and a memory level channel portion contacting inner sidewalls of the memory film; forming an array of drain select level components having the same periodicity as the array of memory stack structures above the alternating stack in the first and second horizontal directions, wherein each drain select level component includes a drain select level channel portion that contacts a respective memory level channel portion; forming drain select gate electrodes laterally surrounding respective rows of drain select level components; and forming a drain select level isolation strip comprising at least one dielectric material and located between a pair of adjacent drain select gate electrodes.

According to still another aspect of the present disclosure, there is provided a three-dimensional memory device including: an alternating stack of insulating layers and conductive layers over a substrate; an array of memory stack structures extending through the alternating stack and arranged in rows extending in a first horizontal direction at a first pitch and in a second horizontal direction spaced apart by a second pitch for each pair of adjacent rows, wherein each memory stack structure comprises a vertical semiconductor channel, a memory film, and a gate dielectric over a top surface of the memory film; a drain select gate electrode laterally surrounding a respective row of gate dielectrics; and a drain select level isolation strip comprising a dielectric material between a pair of adjacent drain select gate electrodes. One of the drain select gate electrodes includes a strip electrode portion including a pair of longitudinal sidewalls extending generally in a first horizontal direction and a plurality of cylindrical electrode portions laterally surrounding a respective one of the gate dielectrics.

According to another aspect of the present disclosure, a method of forming a three-dimensional memory device includes the steps of: forming an alternating stack of insulating layers and spacer material layers over a substrate, wherein the spacer material layers are formed as or subsequently replaced by conductive layers; forming at least one sacrificial matrix layer over the alternating stack; forming an array of memory stack structures extending through the at least one sacrificial matrix layer and the alternating stack and arranged in rows extending in a first horizontal direction at a first pitch and spaced apart in a second horizontal direction at a second pitch for each pair of adjacent rows, wherein each memory stack structure comprises a vertical semiconductor channel and a memory film; physically exposing an upper portion of a memory stack structure by removing the at least one sacrificial matrix layer in a manner selective to the alternating stack; forming a drain select gate electrode around an upper portion of the vertical semiconductor channel; and forming drain select level isolation strips comprising a dielectric material, wherein the drain select level isolation strips are formed between a pair of adjacent drain select gate electrodes.

Drawings

Fig. 1 is a schematic vertical cross-sectional view of a first exemplary structure after forming at least one peripheral device, a semiconductor material layer, and a gate dielectric layer, according to a first embodiment of the present disclosure.

Fig. 2 is a schematic vertical cross-sectional view of a first exemplary structure after forming an alternating stack of insulating layers and sacrificial material layers according to a first embodiment of the present disclosure.

Fig. 3 is a schematic vertical cross-sectional view of a first example structure after forming stepped platforms and backward stepped dielectric material portions according to a first embodiment of the present disclosure.

Fig. 4A is a schematic vertical cross-sectional view of a first example structure after forming a reservoir opening and a support opening, according to a first embodiment of the present disclosure.

Fig. 4B is a top view of the first exemplary structure of fig. 4A. The vertical plane a-a' is the plane of the cross-section of fig. 4A.

Fig. 5A-5F are sequential schematic vertical cross-sectional views of memory openings during formation of a memory stack structure according to a first embodiment of the disclosure.

Figure 6A is a schematic vertical cross-sectional view of a first example structure after forming a memory stack structure, according to a first embodiment of the present disclosure.

Fig. 6B is a top view of the first exemplary structure of fig. 6A. The vertical plane a-a' is the plane of the cross-section of fig. 6A.

Fig. 6C is a vertical cross-sectional view of the first exemplary structure taken along vertical plane C-C of fig. 6B.

Fig. 7A is a vertical cross-sectional view of a first exemplary structure after forming an insulating spacer layer, a first dielectric template layer, and an array of cylindrical openings, according to a first embodiment of the present disclosure.

Fig. 7B is a top view of the first exemplary structure of fig. 7A. The vertical plane a-a' is the plane of the cross-section of fig. 7A.

Fig. 8A is a vertical cross-sectional view of a first exemplary structure after forming a cylindrical electrode portion according to a first embodiment of the present disclosure.

Fig. 8B is a top view of the first exemplary structure of fig. 8A. The vertical plane a-a' is the plane of the section of fig. 8A.

Fig. 9A is a vertical cross-sectional view of a first exemplary structure after forming a sacrificial pedestal according to a first embodiment of the present disclosure.

Fig. 9B is a top view of the first exemplary structure of fig. 9A. The vertical plane a-a' is the plane of the cross-section of fig. 9A.

Fig. 10 is a vertical cross-sectional view of a first exemplary structure after recessing a first dielectric template layer according to a first embodiment of the present disclosure.

Fig. 11 is a vertical cross-sectional view of a first exemplary structure after forming a second dielectric template layer according to a first embodiment of the present disclosure.

Fig. 12A is a vertical cross-sectional view of the first exemplary structure after removal of the sacrificial pedestal according to the first embodiment of the present disclosure.

Fig. 12B is a top view of the first exemplary structure of fig. 12A. The vertical plane a-a' is the plane of the cross-section of fig. 12A.

Figure 13 is a vertical cross-sectional view of a first exemplary structure after forming a gate dielectric according to a first embodiment of the present disclosure.

Fig. 14A is a vertical cross-sectional view of a first example structure after forming a blanket spacer layer according to a first embodiment of the present disclosure.

Fig. 14B is a top view of the first exemplary structure of fig. 14A. The vertical plane a-a' is the plane of the section of fig. 14A.

Fig. 15 is a vertical cross-sectional view of a first example structure after anisotropic etching through the insulating spacer layer and physically exposing a surface of a memory level channel portion, according to a first embodiment of the disclosure.

Fig. 16 is a vertical cross-sectional view of a first example structure after forming a drain select level channel layer and a drain select level dielectric core layer, according to a first embodiment of the present disclosure.

Fig. 17 is a vertical cross-sectional view of a first example structure after forming a drain select level channel portion and a drain select level dielectric core, according to a first embodiment of the present disclosure.

Fig. 18 is a vertical cross-sectional view of a first example structure after forming a drain region, according to a first embodiment of the present disclosure.

Fig. 19 is a vertical cross-sectional view of the first exemplary structure after vertically recessing the second dielectric template layer according to the first embodiment of the present disclosure.

Fig. 20 is a vertical cross-sectional view of a first exemplary structure after forming cylindrical dielectric spacers according to a first embodiment of the present disclosure.

Fig. 21 is a vertical cross-sectional view of the first exemplary structure after forming a third dielectric template layer according to the first embodiment of the present disclosure.

Fig. 22A is a vertical cross-sectional view of a first exemplary structure after anisotropically etching the first, second, and third dielectric template layers using the combination of the patterned photoresist layer and the drain region as an etch mask, according to a first embodiment of the present disclosure.

Fig. 22B is a top view of the first exemplary structure of fig. 22A. The vertical plane a-a' is the plane of the cross-section of fig. 22A.

Fig. 23A is a vertical cross-sectional view of a first example structure after forming a strip electrode portion, according to a first embodiment of the present disclosure.

Fig. 23B is a horizontal cross-sectional view taken along plane B-B' of the first exemplary structure of fig. 23A. The vertical plane a-a' is the plane of the section of fig. 23A.

Fig. 24A is a vertical cross-sectional view of a first exemplary structure after forming a layer of dielectric fill material according to a first embodiment of the present disclosure.

Fig. 24B is a horizontal cross-sectional view taken along plane B-B' of the first exemplary structure of fig. 24A. The vertical plane a-a' is the plane of the cross-section of fig. 24A.

Fig. 24C is a horizontal cross-sectional view taken along plane C-C of the first exemplary structure of fig. 24A.

Fig. 24D is a horizontal cross-sectional view taken along plane D-D' of the first exemplary structure of fig. 24A.

Fig. 24E is a horizontal cross-sectional view taken along plane E-E' of the first exemplary structure of fig. 24A.

Fig. 25A is another vertical cross-sectional view of the first exemplary structure at the processing step of fig. 24A-24E.

Fig. 25B is a top view of the first exemplary structure of fig. 25A. The vertical plane a-a' is the plane of the cross-section of fig. 25A.

Fig. 26A is a vertical cross-sectional view of a first example structure after forming a contact level dielectric layer and backside trenches, according to a first embodiment of the present disclosure.

Fig. 26B is a top view of the first exemplary structure of fig. 26A. The vertical plane a-a' is the plane of the cross-section of fig. 26A.

Fig. 27 is a vertical cross-sectional view of the first exemplary structure after forming a backside recess by removing the sacrificial material layer relative to the insulating layer, according to the first embodiment of the present disclosure.

Fig. 28 is a vertical cross-sectional view of the first exemplary structure after formation of the optional backside blocking dielectric layer and conductive layer and after removal of excess conductive material from within the backside trenches, in accordance with the first embodiment of the present disclosure.

Fig. 29 is a schematic vertical cross-sectional view of a first exemplary structure after forming a source region under each backside trench in accordance with the first embodiment of the present disclosure.

Fig. 30 is a schematic vertical cross-sectional view of a first exemplary structure after forming an insulating spacer and a backside contact structure within each backside trench, according to a first embodiment of the present disclosure.

Fig. 31A is a schematic vertical cross-sectional view of a first example structure after forming an additional contact via structure, according to a first embodiment of the present disclosure.

Fig. 31B is a top view of the exemplary structure of fig. 31A. Vertical plane a-a' is the plane of the schematic vertical cross-section of fig. 31A.

Fig. 32 is a schematic vertical cross-sectional view of a second exemplary structure after forming an alternating stack of insulating layers and sacrificial material layers, a first sacrificial matrix layer, and a second sacrificial matrix layer, according to a second embodiment of the disclosure.

Fig. 33 is a schematic vertical cross-sectional view of a second example structure after forming stepped platforms and backward stepped dielectric material portions according to a second embodiment of the present disclosure.

Fig. 34A is a schematic vertical cross-sectional view of a second example structure after forming a reservoir opening and a support opening, according to a second embodiment of the present disclosure.

Fig. 34B is a top view of the second exemplary structure of fig. 34A. The vertical plane a-a' is the plane of the section of fig. 34A.

Fig. 35A-35G are sequential schematic vertical cross-sectional views of memory openings during formation of a memory stack structure according to a second embodiment of the disclosure.

Figure 36A is a schematic vertical cross-sectional view of a second example structure after forming a memory stack structure, according to a second embodiment of the present disclosure.

Fig. 36B is a top view of the second exemplary structure of fig. 36A. The vertical plane a-a' is the plane of the section of fig. 36A.

Fig. 36C is a vertical cross-sectional view of the second exemplary structure taken along vertical plane C-C of fig. 36B.

Fig. 37 is a vertical cross-sectional view of a second exemplary structure after removal of a second sacrificial matrix layer, according to a second embodiment of the present disclosure.

Fig. 38 is a vertical cross-sectional view of a second exemplary structure after removal of a first sacrificial matrix layer, according to a second embodiment of the present disclosure.

Fig. 39 is a vertical cross-sectional view of a second exemplary structure after forming a gate dielectric according to a second embodiment of the present disclosure.

Fig. 40 is a vertical cross-sectional view of a second exemplary structure after forming a cylindrical electrode portion according to a second embodiment of the present disclosure.

Fig. 41A is a vertical cross-sectional view of a second exemplary structure after forming an etch mask layer, according to a second embodiment of the present disclosure.

Fig. 41B is a top view of the second exemplary structure of fig. 41A. The vertical plane a-a' is the plane of the section of fig. 41A.

Fig. 42 is a vertical cross-sectional view of a second exemplary structure after recessing the etch mask layer according to a second embodiment of the present disclosure.

Fig. 43 is a vertical cross-sectional view of a second exemplary structure after trimming a cylindrical electrode portion according to a second embodiment of the present disclosure.

Fig. 44 is a vertical cross-sectional view of a second exemplary structure after removal of the etch mask layer and formation of a dielectric template layer, according to a second embodiment of the present disclosure.

FIG. 45 is a vertical cross-sectional view of a second exemplary structure after recessing a dielectric template layer according to a second embodiment of the present disclosure.

Fig. 46A is a vertical cross-sectional view of a second exemplary structure after forming an etch mask ring according to a second embodiment of the present disclosure.

Fig. 46B is a top view of the second exemplary structure of fig. 46A. The vertical plane a-a' is the plane of the section of fig. 46A.

Fig. 47A is a vertical cross-sectional view of a second exemplary structure after anisotropically etching a dielectric template layer using a combination of a patterned photoresist layer and an etch mask ring as an etch mask, according to a second embodiment of the present disclosure.

FIG. 47B is a horizontal cross-sectional view taken along plane B-B' of the second exemplary structure of FIG. 47A. The vertical plane a-a' is the plane of the section of fig. 47A.

FIG. 47C is a horizontal cross-sectional view taken along plane C-C' of the second exemplary structure of FIG. 47A.

Fig. 48A is a vertical cross-sectional view of a second example structure after depositing a conductive material in the recessed regions, according to a second embodiment of the present disclosure.

FIG. 48B is a horizontal cross-sectional view taken along plane B-B' of the second exemplary structure of FIG. 48A. The vertical plane a-a' is the plane of the section of fig. 48A.

Fig. 48C is a horizontal cross-sectional view taken along plane C-C of the second exemplary structure of fig. 48A.

Fig. 49 is a vertical cross-sectional view of a second example structure after forming a strip electrode portion by recessing conductive material according to a second embodiment of the present disclosure.

Fig. 50A is a vertical cross-sectional view of a second exemplary structure after forming a layer of dielectric fill material according to a second embodiment of the present disclosure.

FIG. 50B is a horizontal cross-sectional view taken along plane B-B' of the second exemplary structure of FIG. 50A. The vertical plane a-a' is the plane of the cross-section of fig. 50A.

Fig. 51A is another vertical cross-sectional view of the second exemplary structure at the processing step of fig. 50A and 50B.

Fig. 51B is a top view of the second exemplary structure of fig. 51A. The vertical plane a-a' is the plane of the cross-section of fig. 51A.

Fig. 52A is a vertical cross-sectional view of a second example structure after forming a contact level dielectric layer and backside trenches, according to a second embodiment of the present disclosure.

Fig. 52B is a top view of the second exemplary structure of fig. 52A. The vertical plane a-a' is the plane of the cross-section of fig. 52A.

Fig. 53 is a vertical cross-sectional view of a second exemplary structure after forming a backside recess by removing a layer of sacrificial material relative to an insulating layer, according to a second embodiment of the present disclosure.

Fig. 54 is a vertical cross-sectional view of a second exemplary structure after formation of an optional backside blocking dielectric layer and conductive layer and after removal of excess conductive material from within the backside trenches, according to a second embodiment of the present disclosure.

Fig. 55 is a schematic vertical cross-sectional view of a second exemplary structure after forming insulating spacers and backside contact structures within each backside trench, in accordance with a second embodiment of the present disclosure.

Fig. 56A is a schematic vertical cross-sectional view of a second example structure after forming an additional contact via structure, according to a second embodiment of the present disclosure.

Fig. 56B is a top view of the exemplary structure of fig. 56A. Vertical plane a-a' is the plane of the schematic vertical cross-sectional view of fig. 56A.

Figure 57 is a vertical cross-sectional view of an alternative embodiment of the second exemplary structure after forming a gate dielectric layer and a conformal gate electrode material layer in accordance with the second embodiment of the present disclosure.

Fig. 58 is a vertical cross-sectional view of an alternative embodiment of the second exemplary structure after forming the cylindrical electrode portion according to the second embodiment of the present disclosure.

Fig. 59 is a vertical cross-sectional view of an alternative embodiment of the second exemplary structure after forming a dielectric template layer according to the second embodiment of the present disclosure.

Detailed Description

As discussed above, the present disclosure relates to a three-dimensional memory device including spaced-apart select gate electrodes having the same periodicity as a memory stack structure and a method of fabricating the same, various aspects of which are described below. Embodiments of the present disclosure may be used to form various structures, including multi-level memory structures, non-limiting examples of which include semiconductor devices, such as three-dimensional monolithic memory array devices that include multiple NAND memory strings.

The figures are not drawn to scale. Where a single instance of an element is illustrated, multiple instances of the element may be repeated unless explicitly described or otherwise clearly indicated to be absent repetition of the element. Ordinal numbers such as "first," "second," and "third" are used merely to identify similar elements, and different ordinal numbers may be employed throughout the specification and claims of the present disclosure. The same reference numerals indicate the same elements or similar elements. Elements having the same reference number are assumed to have the same composition unless otherwise specified.

As used herein, a first element that is positioned "on" a second element may be positioned on the outside of the surface of the second element or on the inside of the second element. As used herein, a first element is "directly" positioned on a second element if there is physical contact between a surface of the first element and a surface of the second element.

As used herein, "layer" refers to a portion of a material that includes a region having a thickness. A layer may extend over the entirety of an underlying or overlying structure, or may have a range that is less than the range of an underlying or overlying structure. In addition, a layer may be a region of uniform or non-uniform continuous structure having a thickness less than the thickness of the continuous structure. For example, a layer may be positioned between the top and bottom surfaces of the continuous structure or between any pair of horizontal planes at the top and bottom surfaces of the continuous structure. The layers may extend horizontally, vertically, and/or along a tapered surface. The substrate may be a layer, may include one or more layers therein, or may have one or more layers thereon, above and/or below.

A monolithic three dimensional memory array is one in which multiple memory levels are formed above a single substrate, such as a semiconductor wafer, without an intervening substrate. The term "monomer" means that the layers of each level of the array are deposited directly on the layers of each lower level of the array. Instead, a two-dimensional array may be formed separately and then packaged together to form a non-monolithic memory device. For example, non-monolithic stacked memories are constructed by forming Memory levels on separate substrates and vertically stacking the Memory levels, as described in U.S. patent No. 5,915,167, entitled Three-dimensional Structure Memory. The substrate may be thinned or removed from the memory level prior to bonding, but such memories are not true monolithic three dimensional memory arrays because the memory level is initially formed over a separate substrate. Various three-dimensional memory devices of the present disclosure include monolithic three-dimensional NAND string memory devices, and may be fabricated employing the various embodiments described herein.

Referring to fig. 1, a first exemplary structure according to a first embodiment of the present disclosure is shown that may be used, for example, to fabricate a device structure containing a vertical NAND memory device. The first exemplary structure includes a substrate, which may be a semiconductor substrate (9, 10). The substrate may comprise a substrate semiconductor layer 9. The substrate semiconductor layer 9 may be a semiconductor wafer or a layer of semiconductor material, and may include at least one elemental semiconductor material (e.g., a single crystal silicon wafer or layer), at least one III-V compound semiconductor material, at least one II-VI compound semiconductor material, at least one organic semiconductor material, or other semiconductor materials known in the art. The substrate may have a main surface 7, which may be, for example, the topmost surface of the substrate semiconductor layer 9. The main surface 7 may be a semiconductor surface. In one embodiment, major surface 7 can be a single crystalline semiconductor surface, such as a single crystalline semiconductor surface.

As used herein, "semiconductor material" is meant to have a chemical composition of 1.0X 10-6S/cm to 1.0X 105A material having an electrical conductivity in the range of S/cm. As used herein, "semiconductor material" refers to a material having a molecular weight of 1.0 x 10 in the absence of an electrical dopant therein-6S/cm to 1.0X 105A material having an electrical conductivity in the range of S/cm and capable of being produced with appropriate doping of an electrical dopant having a conductivity in the range of 1.0S/cm to 1.0X 105A doping material of conductivity in the range of S/cm. As used herein, "electrical dopant" refers to a p-type dopant that adds holes to a valence band within the band structure, or an n-type dopant that adds electrons to a conduction band within the band structure. As used herein, "conductive material" means having a thickness of greater than 1.0 x 105S/cm of conductivity. As used herein, "insulator material" or "dielectric material" is meant to have a thickness of less than 1.0 x 10-6S/cm of conductivity. As used herein, "heavily doped semiconductor material" refers to a material that is doped with an electrical dopant at a sufficiently high atomic concentration to become conductive (i.e., has an atomic concentration greater than 1).0×105Electrical conductivity of S/cm). The "doped semiconductor material" may be a heavily doped semiconductor material, or may be a material including a metal provided at 1.0 × 10-6S/cm to 1.0X 105A semiconductor material of electrical dopant (i.e., p-type dopant and/or n-type dopant) at a concentration of conductivity in the range of S/cm. "intrinsic semiconductor material" refers to a semiconductor material that is not doped with an electrical dopant. Thus, the semiconductor material may be semiconducting or conducting, and may be intrinsic or doped semiconductor material. The doped semiconductor material may be semiconducting or conducting, depending on the atomic concentration of the electrical dopant therein. As used herein, "metallic material" refers to a conductive material including at least one metallic element therein. All conductivity measurements were performed under standard conditions.

At least one semiconductor device 700 of the peripheral circuit may be formed on a portion of the substrate semiconductor layer 9. The at least one semiconductor device may comprise a field effect transistor, for example. For example, the at least one shallow trench isolation structure 720 may be formed by etching a portion of the substrate semiconductor layer 9 and depositing a dielectric material therein. A gate dielectric layer, at least one gate conductor layer, and a gate capping dielectric layer may be formed over the substrate semiconductor layer 9 and may be subsequently patterned to form at least one gate structure (750,752,754,758), each of which may include a gate dielectric 750, a gate electrode (752,754), and a gate capping dielectric 758. The gate electrode (752,754) may include a stack of a first gate electrode portion 752 and a second gate electrode portion 754. At least one gate spacer 756 may be formed around the at least one gate structure (750,752,754,758) by depositing and anisotropically etching a dielectric liner. The active region 730 may be formed in an upper portion of the substrate semiconductor layer 9, for example, by introducing electrical dopants using the at least one gate structure (750,752,754,758) as a masking structure. Additional masks may be employed as desired. The active region 730 may include a source region and a drain region of a field effect transistor. A first dielectric liner 761 and a second dielectric liner 762 may optionally be formed. Each of the first and second dielectric pads (761,762) may include a silicon oxide layer, a silicon nitride layer, and/or a dielectric metal oxide layer. As used herein, silicon oxide includes silicon dioxide as well as non-stoichiometric silicon oxides having more or less than two oxygen atoms per silicon atom. Silica is preferred. In an illustrative example, the first dielectric liner 761 may be a silicon oxide layer and the second dielectric liner 762 may be a silicon nitride layer. The at least one semiconductor device of the peripheral circuitry may contain a driver circuit of a subsequently formed memory device, which may include at least one NAND device.

A dielectric material, such as silicon oxide, may be deposited over the at least one semiconductor device and may subsequently be planarized to form a planarized dielectric layer 770. In one embodiment, the planarized top surface of the planarized dielectric layer 770 may be coplanar with the top surface of the dielectric liner (761,762). Subsequently, the planarized dielectric layer 770 and the dielectric liner (761,762) may be removed from a region to physically expose the top surface of the substrate semiconductor layer 9. As used herein, a surface is "physically exposed" if the surface is in physical contact with a vacuum or a gas phase material (such as air).

An optional layer of semiconductor material 10 may be formed on the top surface of the substrate semiconductor layer 9 by depositing a single crystal semiconductor material (e.g., by selective epitaxy). The deposited semiconductor material may be the same as or different from the semiconductor material of the substrate semiconductor layer 9. The deposited semiconductor material may be any material that may be used for the semiconductor substrate layer 9, as described above. The monocrystalline semiconductor material of the semiconductor material layer 10 may be epitaxially aligned with the monocrystalline structure of the substrate semiconductor layer 9. The portion of the deposited semiconductor material positioned above the top surface of the planarizing dielectric layer 770 may be removed, for example, by Chemical Mechanical Planarization (CMP). In this case, the semiconductor material layer 10 may have a top surface that is coplanar with a top surface of the planarization dielectric layer 770. The layer of semiconductor material 10 may be doped with an electrical dopant of the first conductivity type, which may be p-type or n-type.

A region (i.e., region) of the at least one semiconductor device 700 is referred to herein as a peripheral device region 200. The device region in which the memory array is subsequently formed is referred to herein as the memory array region 100. A contact region 300 for a stepped mesa for subsequent formation of a conductive layer may be provided between the memory array region 100 and the peripheral device region 200. Optionally, a base insulating layer 12 may be formed over the layer of semiconductor material 10 and the planarization dielectric layer 770. The base insulating layer 12 may be, for example, a silicon oxide layer. The thickness of the base insulating layer 12 may be in the range of 3nm to 30nm, but smaller and larger thicknesses may also be employed.

Referring to fig. 2, a stack of alternating layers of a plurality of first material (which may be insulating layers 32) and second material (which may be sacrificial material layers 42) is formed over a top surface of the substrate, which may be, for example, on a top surface of the base insulating layer 12. As used herein, a "layer of material" refers to a layer that includes the material throughout and throughout. As used herein, the alternating pluralities of first and second elements refers to structures in which instances of the first elements alternate with instances of the second elements. Each instance of a first element that is not an end element of the alternating plurality of elements abuts two instances of a second element on both sides, and each instance of a second element that is not an end element of the alternating plurality of elements abuts two instances of the first element on both ends. The first elements may have the same thickness therebetween, or may have different thicknesses. The second elements may have the same thickness therebetween, or may have different thicknesses. The alternating plurality of first material layers and second material layers may begin with an instance of a first material layer or an instance of a second material layer and may end with an instance of a first material layer or an instance of a second material layer. In one embodiment, the instances of the first element and the instances of the second element may form a unit that repeats periodically within alternating multiple elements.

Each first material layer includes a first material, and each second material layer includes a second material different from the first material. In one embodiment, each first material layer may be an insulating layer 32 and each second material layer may be a sacrificial material layer. In this case, the stack may include a plurality of alternating layers of insulating layers 32 and sacrificial material layers 42, and constitute a prototype stack including alternating layers of insulating layers 32 and sacrificial material layers 42. As used herein, a "prototype" structure or "in-process" structure refers to a transient structure that is subsequently modified in the shape or composition of at least one component therein.

The stack of alternating pluralities is referred to herein as an alternating stack (32, 42). In one embodiment, the alternating stack (32,42) may include an insulating layer 32 composed of a first material and a sacrificial material layer 42 composed of a second material, wherein the second material is different from the material of the insulating layer 32. The first material of the insulating layer 32 may be at least one insulating material. Thus, each insulating layer 32 may be a layer of insulating material. Insulating materials that may be used for the insulating layer 32 include, but are not limited to, silicon oxide (including doped silicate glass or undoped silicate glass), silicon nitride, silicon oxynitride, organosilicate glass (OSG), spin-on dielectric materials, dielectric metal oxides commonly referred to as high dielectric constant (high-k) dielectric oxides (e.g., aluminum oxide, hafnium oxide, etc.) and silicates thereof, dielectric metal oxynitrides and silicates thereof, and organic insulating materials. In one embodiment, the first material of the insulating layer 32 may be silicon oxide.

The second material of the sacrificial material layer 42 is a sacrificial material that is selectively removable with respect to the first material of the insulating layer 32. As used herein, the removal of a first material is "selective" for a "second material if the removal process removes the first material at a rate that is at least twice the removal rate of the second material. The ratio of the removal rate of the first material to the removal rate of the second material is referred to herein as the "selectivity" of the removal process for the first material relative to the second material.

The sacrificial material layer 42 may comprise an insulating material, a semiconductor material, or a conductive material. The second material of the sacrificial material layer 42 may then be replaced with a conductive electrode that may serve, for example, as a control gate electrode for a vertical NAND device. Non-limiting examples of the second material include silicon nitride, amorphous semiconductor materials (such as amorphous silicon), and polycrystalline semiconductor materials (such as polysilicon). In one embodiment, the sacrificial material layer 42 may be a spacer material layer comprising silicon nitride or a semiconductor material comprising at least one of silicon and germanium.

In one embodiment, the insulating layer 32 may comprise silicon oxide and the sacrificial material layer may comprise a silicon nitride sacrificial material layer. The first material of the insulating layer 32 may be deposited, for example, by Chemical Vapor Deposition (CVD). For example, if silicon oxide is used for the insulating layer 32, Tetraethylorthosilicate (TEOS) may be used as a precursor material for the CVD process. The second material of the sacrificial material layer 42 may be formed, such as CVD or Atomic Layer Deposition (ALD).

The sacrificial material layer 42 may be appropriately patterned such that portions of conductive material subsequently formed by replacing the sacrificial material layer 42 may serve as conductive electrodes, such as control gate electrodes of subsequently formed monolithic three-dimensional NAND string memory devices. The layer of sacrificial material 42 may comprise portions having a strip shape extending substantially parallel to the main surface 7 of the substrate.

The thickness of the insulating layer 32 and the sacrificial material layer 42 may be in the range of 20nm to 50nm, although lesser and greater thicknesses may be used for each insulating layer 32 and each sacrificial material layer 42. The number of repetitions of the pair of insulating layers 32 and sacrificial material layers (e.g., control gate electrodes or sacrificial material layers) 42 may be in the range of 2 to 1,024, and typically in the range of 8 to 256, although greater numbers of repetitions may also be employed. The top gate electrode and the bottom gate electrode in the stack may be used as select gate electrodes. In one embodiment, each sacrificial material layer 42 in the alternating stack (32,42) may have a uniform thickness that is substantially constant within each respective sacrificial material layer 42.

Although the present disclosure is described with an embodiment in which the layer of spacer material is a layer of sacrificial material 42 that is subsequently replaced by a conductive layer, embodiments are expressly contemplated herein in which the layer of sacrificial material is formed as a conductive layer. In this case, the step of replacing the spacer material layer with the conductive layer may be omitted.

Optionally, an insulating cap layer 70 may be formed over the alternating stack (32, 42). The insulating cap layer 70 comprises a dielectric material different from the material of the sacrificial material layer 42. In one embodiment, the insulating cap layer 70 may comprise a dielectric material as described above as may be used for the insulating layer 32. The insulating capping layer 70 may have a greater thickness than each of the insulating layers 32. The insulating cap layer 70 may be deposited by, for example, chemical vapor deposition. In one embodiment, the insulating cap layer 70 may be a silicon oxide layer.

Referring to fig. 3, the stepped cavity may be formed within a contact region 300 positioned between the memory array region 100 and a peripheral device region 200 containing at least one semiconductor device for peripheral circuitry. The stepped cavity may have various stepped surfaces such that the horizontal cross-sectional shape of the stepped cavity varies stepwise according to the vertical distance from the top surface of the substrate (9, 10). In one embodiment, the stepped cavity may be formed by repeatedly performing a set of processing steps. The set of processing steps may include, for example, a first type of etch process that vertically increases the cavity depth by one or more levels and a second type of etch process that laterally extends the region to be vertically etched in a subsequent etch process of the first type. As used herein, a "level" of a structure comprising alternating pluralities is defined as the relative position of a pair of first and second material layers within the structure.

After forming the stepped cavities, peripheral portions of the alternating stacks (32,42) may have stepped surfaces after forming the stepped cavities. As used herein, "stepped surface" refers to a set of surfaces comprising at least two horizontal surfaces and at least two vertical surfaces, such that each horizontal surface abuts a first vertical surface extending upward from a first edge of the horizontal surface and abuts a second vertical surface extending downward from a second edge of the horizontal surface. "stepped cavity" refers to a cavity having a stepped surface.

The mesa region is formed by patterning the alternating stack (32, 42). Each sacrificial material layer 42 within the alternating stack (32,42) except for the topmost sacrificial material layer 42 extends laterally further than any overlying sacrificial material layer 42 within the alternating stack (32, 42). The land region includes a stepped surface of the alternating stack (32,42) that continuously extends from a lowermost layer within the alternating stack (32,42) to a uppermost layer within the alternating stack (32, 42).

The backward stepped dielectric material portion 65 (i.e., the insulating fill material portion) may be formed in the stepped cavity by depositing a dielectric material therein. For example, a dielectric material such as silicon oxide may be deposited in the stepped cavity. Excess portions of the deposited dielectric material may be removed from over the top surface of the insulating cap layer 70, for example, by Chemical Mechanical Planarization (CMP). The remaining portion of the deposited dielectric material filling the stepped cavity constitutes a backward stepped dielectric material portion 65. As used herein, a "backward stepped" element refers to an element having a stepped surface and a horizontal cross-sectional area that monotonically increases according to vertical distance from a top surface of a substrate on which the element is present. If silicon oxide is used for the retro-stepped dielectric material portion 65, the silicon oxide of the retro-stepped dielectric material portion 65 may or may not be doped with dopants, such as B, P and/or F.

Optionally, a drain select level isolation structure (not explicitly shown) may be formed by the insulating cap layer 70 and a subset of the sacrificial material layer 42 positioned at the drain select level. The drain select level isolation structure is an isolation structure formed at the drain side select gate electrode layer level. The drain select level isolation structure may be formed, for example, by forming a drain select level isolation trench and filling the drain select level isolation trench with a dielectric material, such as silicon oxide. Excess portions of the dielectric material may be removed from over the top surface of the insulating cap layer 70.

Referring to fig. 4A and 4B, a photolithographic material stack (not shown) including at least a photoresist layer may be formed over the insulating cap layer 70 and the retro-stepped dielectric material portion 65, and may be photolithographically patterned to form openings therein. The openings include a first set of openings formed over the memory array region 100 and a second set of openings formed over the contact region 300. The pattern in the stack of photolithographic material can be transferred through the insulating cap layer 70 or the retro-stepped dielectric material portion 65 and through the alternating stack (32,42) by at least one anisotropic etch using the patterned stack of photolithographic material as an etch mask. Portions of the alternating stack (32,42) below the openings in the patterned stack of photolithographic material are etched to form memory openings 49 and support openings 19. As used herein, "memory opening" refers to a structure in which a memory element, such as a memory stack structure, is subsequently formed therein. As used herein, "support opening" refers to a structure in which a support structure (such as a support post structure) is subsequently formed that mechanically supports other elements. The memory openings 49 are formed through the integral formation of the alternating stacks (32,42) in the insulating cap layer 70 and the memory array region 100. Support openings 19 are formed through the backward stepped dielectric material portion 65 and portions of the alternating stacks (32,42) that are located below the stepped surface in the contact region 300.

A reservoir opening 49 extends through the entirety of the alternating stack (32, 42). The support openings 19 extend through a subset of the layers within the alternating stack (32, 42). The chemistry of the anisotropic etching process used to etch through the material of the alternating stack (32,42) may be alternated to optimize the etching of the first and second materials in the alternating stack (32, 42). The anisotropic etch may be, for example, a series of reactive ion etches. The sidewalls of the reservoir opening 49 and the support opening 19 may be substantially vertical or may be tapered. The patterned stack of photolithographic material can then be removed, for example, by ashing.

The memory openings 49 and the support openings 19 may be formed through the base insulating layer 12 such that the memory openings 49 and the support openings 19 extend from a top surface of the alternating stack (32,42) at least to a horizontal plane including a topmost surface of the semiconductor material layer 10. In one embodiment, the overetch of the semiconductor material layer 10 may optionally be performed after the top surface of the semiconductor material layer 10 is physically exposed at the bottom of each memory opening 49 and each support opening 19. The overetch may be performed before or after the stack of photolithographic materials is removed. In other words, the recessed surface of the semiconductor material layer 10 may be vertically offset from the un-recessed top surface of the semiconductor material layer 10 by a recess depth. The recess depth may be in the range of, for example, 1nm to 50nm, although lesser and greater depths may also be employed. The over-etching is optional and may be omitted. The bottom surfaces of the memory openings 49 and the support openings 19 may be coplanar with the topmost surface of the layer of semiconductor material 10 if no over-etching is performed.

Each of the memory opening 49 and the support opening 19 may include a sidewall (or sidewalls) that extends substantially perpendicular to a topmost surface of the substrate. A two-dimensional array of memory openings 49 may be formed in the memory array region 100. A two-dimensional array of support openings 19 may be formed in the contact area 300. The substrate semiconductor layer 9 and the semiconductor material layer 10 together constitute a substrate (9,10), which may be a semiconductor substrate. Alternatively, the semiconductor material layer 10 may be omitted, and the memory opening 49 and the support opening 19 may extend to the top surface of the substrate semiconductor layer 9.

In one implementation of fig. 4B, the memory openings 49 may be formed as a two-dimensional periodic array that includes rows extending in a first horizontal direction hd1 (e.g., a word line direction) and has a uniform inter-row spacing p in a second horizontal direction hd2 (e.g., a bit line direction) that may be perpendicular to the first horizontal direction hd 1. In one embodiment, the plurality of two-dimensional periodic arrays may be formed such that each two-dimensional periodic array is formed as a cluster laterally spaced apart from an adjacent two-dimensional periodic array along the second horizontal direction hd 2.

Multiple two-dimensional arrays may be formed as a cluster of memory openings 49 having multiple rows of memory openings 49. Each row of memory openings 49 may have a one-dimensional periodic array with a first pitch along the first horizontal direction hd 1. The rows of memory openings within each cluster may be arranged in a second horizontal direction hd2 at a second pitch, which may be an inter-row pitch p. Thus, each two-dimensional periodic array may include a respective row extending along the first horizontal direction hd1 with a uniform inter-row spacing p along the second horizontal direction hd 2. The number of rows of memory openings 49 within each two-dimensional array of memory openings 49 may be in the range of 8 to 64, such as in the range of 12 to 32, although lesser and greater numbers may also be employed.

Fig. 5A-5F illustrate structural changes in the memory opening 49 during formation of a memory stack structure, which is one of the memory openings 49 in the first exemplary structure of fig. 4A and 4B. The same structural changes occur in each of the other reservoir openings 49 and the support openings 19 simultaneously.

Referring to fig. 5A, a memory opening 49 in the first exemplary device structure of fig. 4A and 4B is shown. The memory opening 49 extends through the insulating cap layer 70, the alternating stack (32,42), the base insulating layer 12, and optionally into an upper portion of the semiconductor material layer 10. In this processing step, each support opening 19 may extend through a retro-stepped dielectric material portion 65, a subset of layers in the alternating stack (32,42), the base insulating layer 12, and optionally through an upper portion of the semiconductor material layer 10. The recess depth of the bottom surface of each memory opening relative to the top surface of the layer of semiconductor material 10 may be in the range of 0nm to 30nm, although greater recess depths may also be employed. Optionally, the sacrificial material layer 42 may be partially laterally recessed, such as by isotropic etching, to form lateral recesses (not shown).

Referring to fig. 5B, an optional pedestal channel portion (e.g., an epitaxial pedestal) 11 may be formed at a bottom portion of each memory opening 49 and each support opening 19, e.g., by selective epitaxy. Each pedestal channel portion 11 comprises a single crystal semiconductor material that is epitaxially aligned with the single crystal semiconductor material of the layer of semiconductor material 10. In one embodiment, the pedestal channel portion 11 may be doped with an electrical dopant of the same conductivity type as the layer of semiconductor material 10. In one embodiment, the top surface of each pedestal channel portion 11 may be formed above a horizontal plane that includes the top surface of the sacrificial material layer 42. In this case, at least one source select gate electrode may be subsequently formed by replacing each sacrificial material layer 42 positioned below the horizontal plane including the top surface of the pedestal channel portion 11 with a respective conductive material layer. The pedestal channel portion 11 may be a portion of the transistor channel that extends between a source region that will be subsequently formed in the substrate (9,10) and a drain region that will be subsequently formed in an upper portion of the memory opening 49. A cavity 49' exists in the unfilled portion of the memory opening 49 above the pedestal channel portion 11. In one embodiment, the base channel portion 11 may comprise monocrystalline silicon. In one embodiment, the pedestal channel portion 11 may have a doping of a first conductivity type that is the same as the conductivity type of the semiconductor material layer 10 that the pedestal channel portion contacts. If the layer of semiconductor material 10 is not present, the pedestal channel portion 11 may be formed directly on the substrate semiconductor layer 9, which may have a doping of the first conductivity type.

Referring to fig. 5C, a layer stack including a blocking dielectric layer 52, a charge storage layer 54, a tunneling dielectric layer 56, and an optional first semiconductor channel layer 601 may be sequentially deposited in the memory opening 49.

The blocking dielectric layer 52 may comprise a single layer of dielectric material or a stack of multiple layers of dielectric material. In one embodiment, the blocking dielectric layer may comprise a dielectric metal oxide layer consisting essentially of a dielectric metal oxide. As used herein, dielectric metal oxide refers to a dielectric material that includes at least one metal element and at least oxygen. The dielectric metal oxide may consist essentially of at least one metallic element and oxygen, or may consist essentially of at least one metallic element, oxygen, and at least one non-metallic element, such as nitrogen. In one embodiment, the blocking dielectric layer 52 may comprise a dielectric metal oxide having a dielectric constant greater than 7.9 (i.e., having a dielectric constant greater than that of silicon nitride).

Non-limiting examples of dielectric metal oxides include aluminum oxide (Al)2O3) Hafnium oxide (HfO)2) Lanthanum oxide (LaO)2) Yttrium oxide (Y)2O3) Tantalum oxide (Ta)2O5) Silicates thereof, nitrogen-doped compounds thereof, alloys thereof, and stacks thereof. The dielectric metal oxide layer may be deposited, for example, by Chemical Vapor Deposition (CVD), Atomic Layer Deposition (ALD), Pulsed Laser Deposition (PLD), liquid source atomized chemical deposition, or a combination thereof. The thickness of the dielectric metal oxide layer may be in the range of 1nm to 20nm, although lesser and greater thicknesses may also be employed. Subsequently, the dielectric metal oxide layer may serve as a dielectric material portion that blocks stored charge from leaking to the control gate electrode. In one embodiment, the barrier dielectric layer 52 comprises aluminum oxide. In one embodiment, the barrier dielectric layer 52 may include materials having different compositionsA plurality of dielectric metal oxide layers.

Alternatively or in addition, the blocking dielectric layer 52 may comprise a dielectric semiconductor compound such as silicon oxide, silicon oxynitride, silicon nitride, or a combination thereof. In one embodiment, the blocking dielectric layer 52 may comprise silicon oxide. In this case, the dielectric semiconductor compound of the blocking dielectric layer 52 may be formed by a conformal deposition method, such as low pressure chemical vapor deposition, atomic layer deposition, or a combination thereof. The thickness of the dielectric semiconductor compound may be in the range of 1nm to 20nm, but smaller and larger thicknesses may also be employed. Alternatively, the blocking dielectric layer 52 may be omitted, and the backside blocking dielectric layer may be formed after forming a backside recess on the surface of the memory film to be formed later.

Subsequently, a charge storage layer 54 may be formed. In one embodiment, the charge storage layer 54 may be a continuous layer or patterned discrete portions of charge trapping material including a dielectric charge trapping material (which may be silicon nitride, for example). Alternatively, the charge storage layer 54 may comprise a continuous layer or patterned discrete portions of conductive material (such as doped polysilicon or a metallic material) that is patterned into a plurality of electrically isolated portions (e.g., floating gates), for example, by being formed as a sacrificial material layer 42 within the lateral recesses. In one embodiment, charge storage layer 54 comprises a silicon nitride layer. In one embodiment, the sacrificial material layer 42 and the insulating layer 32 may have vertically coincident sidewalls, and the charge storage layer 54 may be formed as a single continuous layer. As used herein, a first surface and a second surface "vertically coincide" if the second surface is above or below the first surface and if there is a vertical plane that includes the first surface and the second surface.

In another embodiment, the sacrificial material layer 42 may be recessed laterally relative to the sidewalls of the insulating layer 32, and a combination of a deposition process and an anisotropic etching process may be employed to form the charge storage layer 54 as a plurality of vertically spaced apart memory material portions. Although the present disclosure is described with an embodiment in which charge storage layer 54 is a single continuous layer, embodiments are expressly contemplated herein in which charge storage layer 54 is replaced by a plurality of vertically spaced apart portions of memory material (which may be portions of charge trapping material or electrically isolated portions of conductive material).

The charge storage layer 54 may be formed as a single charge storage layer of uniform composition, or may include a stack of a plurality of charge storage layers. The plurality of charge storage layers, if employed, may comprise a plurality of spaced apart floating gate material layers containing conductive material (e.g., metals such as tungsten, molybdenum, tantalum, titanium, platinum, ruthenium, and alloys thereof, or metal silicides such as tungsten silicide, molybdenum silicide, tantalum silicide, titanium silicide, nickel silicide, cobalt silicide, or combinations thereof) and/or semiconductor material (e.g., polycrystalline or amorphous semiconductor material including at least one elemental semiconductor element or at least one compound semiconductor material). Alternatively or in addition, the charge storage layer 54 may include an insulating charge trapping material, such as one or more silicon nitride segments. Alternatively, the charge storage layer 54 may comprise conductive nanoparticles, such as metal nanoparticles, which may be, for example, ruthenium nanoparticles. The charge storage layer 54 may be formed, for example, by Chemical Vapor Deposition (CVD), Atomic Layer Deposition (ALD), Physical Vapor Deposition (PVD), or any suitable deposition technique for storing charge therein. The thickness of charge storage layer 54 may be in the range of 2nm to 20nm, but lesser and greater thicknesses may also be employed.

The tunneling dielectric layer 56 comprises a dielectric material through which charge tunneling can be performed under suitable electrical bias conditions. Charge tunneling may be performed by hot carrier injection or by fowler-nordheim tunneling induced charge transfer, depending on the mode of operation of the monolithic three-dimensional NAND string memory device to be formed. The tunneling dielectric layer 56 may comprise silicon oxide, silicon nitride, silicon oxynitride, dielectric metal oxides (such as aluminum oxide and hafnium oxide), dielectric metal oxynitrides, dielectric metal silicates, alloys thereof, and/or combinations thereof. In one embodiment, the tunneling dielectric layer 56 may include a stack of a first silicon oxide layer, a silicon oxynitride layer, and a second silicon oxide layer, commonly referred to as an ONO stack. In one embodiment, the tunneling dielectric layer 56 may include a substantially carbon-free silicon oxide layer or a substantially carbon-free silicon oxynitride layer. The thickness of the tunnel dielectric layer 56 may be in the range of 2nm to 20nm, although lesser and greater thicknesses may also be employed.

The optional first semiconductor channel layer 601 includes a semiconductor material, such as at least one elemental semiconductor material, at least one III-V compound semiconductor material, at least one II-VI compound semiconductor material, at least one organic semiconductor material, or other semiconductor materials known in the art. In one embodiment, the first semiconductor channel layer 601 includes amorphous silicon or polysilicon. The first semiconductor channel layer 601 may be formed by a conformal deposition method such as Low Pressure Chemical Vapor Deposition (LPCVD). The thickness of the first semiconductor channel layer 601 may be in the range of 2nm to 10nm, but smaller and larger thicknesses may also be employed. A cavity 49' is formed in the volume of each reservoir opening 49 that is not filled with a deposited material layer (52,54,56, 601).

Referring to fig. 5D, the optional first semiconductor channel layer 601, the tunneling dielectric layer 56, the charge storage layer 54, and the blocking dielectric layer 52 are anisotropically etched in sequence using at least one anisotropic etching process. Portions of the first semiconductor channel layer 601, the tunneling dielectric layer 56, the charge storage layer 54, and the blocking dielectric layer 52 positioned above the top surface of the insulating cap layer 70 may be removed by at least one anisotropic etching process. In addition, horizontal portions of the first semiconductor channel layer 601, the tunneling dielectric layer 56, the charge storage layer 54, and the blocking dielectric layer 52 at the bottom of each cavity 49' may be removed to form an opening in the remaining portions thereof. Each of the first semiconductor channel layer 601, the tunneling dielectric layer 56, the charge storage layer 54, and the blocking dielectric layer 52 may be etched by an anisotropic etching process.

Each remaining portion of the first semiconductor channel layer 601 may have a tubular configuration. The charge storage layer 54 may include a charge trapping material or a floating gate material. In one embodiment, each charge storage layer 54 may include a vertical stack of charge storage regions that store charge when programmed. In one embodiment, the charge storage layer 54 may be a charge storage layer, wherein each portion adjacent to the sacrificial material layer 42 constitutes a charge storage region.

The surface of the pedestal channel portion 11 (or the surface of the semiconductor material layer 10 in the case where the pedestal channel portion 11 is not employed) may be physically exposed below the opening through the first semiconductor channel layer 601, the tunneling dielectric layer 56, the charge storage layer 54, and the blocking dielectric layer 52. Optionally, the physically exposed semiconductor surface at the bottom of each cavity 49 'may be vertically recessed such that the recessed semiconductor surface below the cavity 49' is vertically offset from the topmost surface of the pedestal channel portion 11 (or semiconductor substrate layer 10 if pedestal channel portion 11 is not employed) by a recessed distance. A tunneling dielectric layer 56 is positioned over charge storage layer 54. A set of blocking dielectric layer 52, charge storage layer 54, and tunneling dielectric layer 56 in memory opening 49 form a memory film 50 that includes a plurality of charge storage regions (e.g., embodied as charge storage layer 54) that are insulated from the surrounding material by blocking dielectric layer 52 and tunneling dielectric layer 56. In one embodiment, the first semiconductor channel layer 601, the tunneling dielectric layer 56, the charge storage layer 54, and the blocking dielectric layer 52 may have vertically coincident sidewalls.

Referring to fig. 5E, the second semiconductor channel layer 602 may be deposited directly on the semiconductor surface of the pedestal channel portion 11 (or on the layer of semiconductor material 10 if the pedestal channel portion 11 is omitted) and directly on the first semiconductor channel layer 601. The second semiconductor channel layer 602 includes a semiconductor material, such as at least one elemental semiconductor material, at least one III-V compound semiconductor material, at least one II-VI compound semiconductor material, at least one organic semiconductor material, or other semiconductor materials known in the art. In one embodiment, the second semiconductor channel layer 602 includes amorphous silicon or polysilicon. The second semiconductor channel layer 602 may be formed by a conformal deposition method such as Low Pressure Chemical Vapor Deposition (LPCVD). The thickness of the second semiconductor channel layer 602 may be in the range of 2nm to 10nm, but smaller and larger thicknesses may also be employed. The second semiconductor channel layer 602 may partially or completely fill the cavity in each memory opening 49.

The materials of the first semiconductor channel layer 601 and the second semiconductor channel layer 602 are collectively referred to as a semiconductor channel material. In other words, the semiconductor channel material is the aggregate of all the semiconductor materials in the first semiconductor channel layer 601 and the second semiconductor channel layer 602.

In the case where the memory opening 49 and the support opening 19 are not completely filled with the second semiconductor channel layer 602, a dielectric core layer 62L including a dielectric material may be deposited in the unfilled volume of the memory opening 49 and the support opening 19. The dielectric core layer 62L may include silicon oxide.

Referring to fig. 5F, portions of the dielectric core layer 62L and the second semiconductor channel layer 602 positioned above the top surface of the insulating cap layer 70 may be removed by a planarization process, which may employ recess etching or Chemical Mechanical Planarization (CMP). Each remaining portion of the second semiconductor channel layer 602 may be positioned entirely within the memory opening 49 or entirely within the support opening 19. Each remaining portion of the dielectric core layer 62L may be positioned entirely within the memory opening 49 or entirely within the support opening 19 and is referred to herein as a dielectric core 62.

Each adjoining pair of the first semiconductor channel layer 601 and the second semiconductor channel layer 602 may collectively form a memory-level channel portion 60 through which current may flow when a vertical NAND device including the memory-level channel portion 60 is turned on. Tunneling dielectric layer 56 is surrounded by charge storage layer 54 and laterally surrounds a portion of memory level channel portion 60. Each set of adjacent blocking dielectric layer 52, charge storage layer 54, and tunneling dielectric layer 56 collectively comprise a memory film 50 that can store charge with macroscopic retention time. In some embodiments, the blocking dielectric layer 52 may not be present in the memory film 50 at this step, and may be subsequently formed after the backside recess is formed. As used herein, a macroscopic retention time refers to a retention time suitable for operation of a memory device as a persistent memory device, such as a retention time in excess of 24 hours.

Referring to fig. 6A-6C, a first exemplary structure is shown after the processing steps of fig. 5F. Each combination of a memory film 50 and a memory-level channel portion 60 (which is part of a vertical semiconductor channel) within a memory opening 49 constitutes a memory stack structure 55. Memory stack structure 55 is a combination of a memory level channel portion 60, a tunneling dielectric layer 56, a plurality of memory elements as implemented as part of charge storage layer 54, and an optional blocking dielectric layer 52. Each combination of pedestal channel portion 11 (if present) within memory opening 49, memory stack structure 55, and optional dielectric core 62 is referred to herein as a memory opening fill structure 58. Each combination of the pedestal channel portion 11 (if present), the memory film 50, the memory level channel portion 60, and the optional dielectric core 62 within each support opening 19 fills the respective support opening 19 and constitutes a support pillar structure 20 (i.e., a dummy structure that is not electrically connected to a bit line).

An example of a memory opening fill structure 58 may be formed within each memory opening 49 of the structures of fig. 4A and 4B. An example of a support post structure 20 may be formed within each support opening 19 of the structure of fig. 4A and 4B. Each exemplary memory stack structure 55 includes a memory-level channel portion 60, which may include a plurality of semiconductor channel layers (601,602) and a memory film 50. The memory film 50 may include a vertical stack of tunneling dielectric layer 56 laterally surrounding a memory level channel portion 60 and a charge storage region laterally surrounding the tunneling dielectric layer 56 (as implemented as a layer of memory material 54) and an optional blocking dielectric layer 52. Although the present disclosure is described in the illustrated configuration for a memory stack structure, the methods of the present disclosure may be applied to alternative memory stack structures including different layer stacks or structures for the memory film 50 and/or for the memory level channel portion 60.

Referring to fig. 7A and 7B, an insulating spacer layer 165 may be optionally formed. The insulating spacer layer 165 may comprise a dielectric material, such as silicon oxide, a dielectric metal oxide, or silicon oxynitride, and may have a thickness in the range of 5nm to 100nm, although lesser and greater thicknesses may also be employed.

A first dielectric template layer 170 may be formed over the insulating spacer layer 165. The first dielectric template layer 170 may comprise doped silicate glass or undoped silicate glass (i.e., silicon oxide). The thickness of the first dielectric template layer 170 may be in the range of 150nm to 600nm, although lesser and greater thicknesses may also be employed.

An array of cylindrical openings 149 may be formed through the first dielectric template layer. The array of cylindrical openings 149 may have the same periodicity as the reservoir openings 49 and support openings 19. In one embodiment, a photoresist layer (not shown) may be applied over the first dielectric template layer 170 and may be patterned using the same photolithographic mask that forms the pattern for the memory openings 49 and the support openings 19. The pattern in the photoresist layer may then be transferred through the first dielectric template layer 170 to form an array of cylindrical openings 149.

In one embodiment, each cylindrical opening 149 can be aligned with the lower one of reservoir opening filling structure 58 and support opening filling structure 20. Thus, a vertical axis passing through the geometric center of each cylindrical opening 149 may coincide with a vertical axis passing through the geometric center of the underlying one of the memory opening fill structures 58 and the support opening fill structures 20, or be laterally offset from a vertical axis passing through the geometric center of the underlying one of the memory opening fill structures 58 and the support opening fill structures 20 by an amount less than the overlay tolerance of the lithographic alignment process employed during subsequent patterning of the photoresist. In general, the same photolithographic mask used to pattern the memory openings 49 and the support openings 19 may be employed to form the array of cylindrical openings 149. Thus, each array of cylindrical openings 149 covering the array of memory stack structures 58 may have the same periodicity as the array of memory stack structures 58 in the first horizontal direction hd1 and the second horizontal direction hd 2.

The lateral dimensions of the cylindrical opening 149 may be the same as, may be greater than or may be less than the lateral dimensions of the memory opening 49 or the support opening 19, depending on the exposure conditions during the lithographic patterning of the photoresist layer. The cylindrical opening 149 may have any two-dimensional closed shape that substantially matches the horizontal cross-sectional shape of the underlying reservoir opening 49 or the underlying support opening 19.

Referring to fig. 8A and 8B, a conductive material is conformally deposited in the cylindrical cavity 149 and then anisotropically etched to form the cylindrical electrode portion 152. Each cylindrical electrode portion 149 may have a cylindrical configuration with a uniform thickness, which may be in the range of 3nm to 50nm, although lesser and greater thicknesses may also be employed. The conductive material may comprise a metallic material or a doped semiconductor material. For example, the conductive material may comprise a metal nitride (such as TiN) or doped polysilicon. The top surface of the cylindrical electrode portion 152 may be recessed vertically below a horizontal plane that includes the top surface of the first dielectric template layer 170.

Referring to fig. 9A and 9B, a sacrificial fill material is deposited in the remaining volume of the cylindrical cavity 149. The sacrificial fill material comprises a material that may be removed in a manner selective to the material of the insulating spacer layer 165, the first dielectric template layer 170, and the cylindrical electrode portion 152. For example, the sacrificial fill material may comprise silicon nitride, a semiconductor material (in the case where the cylindrical electrode portion 152 comprises a different semiconductor material or a metallic material), amorphous or polycrystalline carbon, or a silicon-containing polymer material. Excess portions of the sacrificial fill material may be removed from above a horizontal plane including the top surface of the first dielectric template layer 170 by a planarization process. Chemical mechanical planarization and/or recess etching may be used for the planarization process.

Each remaining portion of the sacrificial fill material in the cylindrical opening 149 has the structure of a pedestal and is referred to herein as a sacrificial pedestal 173. Each sacrificial pedestal 173 may be a lower portion embedded in the cylindrical electrode portion 152 and having a first uniform horizontal sectional shape, and an upper portion covering the lower portion and having a second uniform horizontal sectional shape. The second uniform horizontal cross-sectional shape may be laterally offset (i.e., wider than the first uniform horizontal cross-sectional shape) outward from the first uniform horizontal cross-sectional shape by the thickness of the cylindrical electrode portion 152. After the cylindrical electrode portion 152 is formed, a sacrificial pedestal 173 is formed within the unfilled volume of the array of cylindrical openings 149. The combination of the first dielectric template layer 170 and the cylindrical electrode portions 152 serves as a matrix for forming the sacrificial pedestals 173.

Referring to fig. 10, the first dielectric template layer 170 is vertically recessed in a manner selective to the material of the sacrificial pedestal 173 such that the height of the remaining portion of the first dielectric template layer 170 is about the gate length of a vertical field effect transistor (e.g., the gate length of a drain side select gate transistor) that will be subsequently formed at the level of the first dielectric template layer 170. For example, after vertically recessing the first dielectric template layer 170, the height of the first dielectric template layer 170 may be in the range of 30nm to 300nm, although lesser or greater heights may also be employed. The vertical recessing of the first dielectric template layer 170 may be performed by an isotropic etch process or an anisotropic etch process that is selective to the material of the sacrificial pedestal 173. In an exemplary example, if the first dielectric template layer 170 includes silicon oxide, and if the sacrificial pedestal 173 includes silicon nitride, the first dielectric template layer 170 may be vertically recessed by a wet etching process using hydrofluoric acid.

Subsequently, the cylindrical electrode portion 152 is optionally trimmed from above a horizontal plane including the recessed top surface of the first dielectric template layer 170, for example, by an isotropic etching process that etches the material of the cylindrical electrode portion 152. The isotropic etch process may be selective to the material of the sacrificial pedestal 173 and the first dielectric template layer 170. A plurality of cylindrical electrode portions 152 are formed over the alternating stack (32,42) and within the first dielectric template layer 170.

Referring to fig. 11, a second dielectric template layer 175 may be formed by depositing a dielectric material around the sacrificial pedestal 173. Excess portions of the dielectric material may be removed from above the horizontal plane including the top surface of sacrificial pedestal 173 by a planarization process, such as chemical mechanical planarization. The second dielectric template layer 175 comprises a dielectric material that is different from the material of the sacrificial pedestal 173. For example, the second dielectric template layer 175 may comprise a doped silicate glass or an undoped silicate glass (i.e., silicon oxide). The dielectric material of the second dielectric template layer 175 may be the same as or different from the dielectric material of the first dielectric template layer 170. The top surface of the second dielectric template layer 175 may be in the same horizontal plane as the top surface of the sacrificial pedestal 173.

Referring to fig. 12A and 12B, the sacrificial pedestal 173 may be removed by an etching process in a manner selective to the second dielectric template layer 175, the cylindrical electrode portion 152, and the insulating spacer layer 165. In an illustrative example, if sacrificial pedestal 173 comprises silicon nitride, a wet etch using hot phosphoric acid may be employed to remove sacrificial pedestal 173 in a manner that is selective to second dielectric template layer 175, cylindrical electrode portion 152, and insulating spacer layer 165. After removal of the sacrificial pedestal 173, a cylindrical cavity 149 exists within the cylindrical electrode portion 152. The inner sidewalls of the plurality of cylindrical electrode portions 152 are physically exposed to the cylindrical cavity 149.

Referring to fig. 13, a plurality of cylindrical gate dielectrics 150 may be formed on inner sidewalls of the plurality of cylindrical electrode portions 152. The plurality of cylindrical gate dielectrics 150 may be formed by depositing a conformal gate dielectric material layer, such as a silicon oxide layer and/or a dielectric metal oxide layer. In the case where the cylindrical electrode portion 152 comprises a semiconductor material, conversion (such as oxidation and/or nitridation) of the surface portion of the cylindrical electrode portion 152 from the inner sidewalls of the cylindrical electrode portion 152 may replace or supplement the deposition of the conformal gate dielectric material layer. Although the present disclosure shows only embodiments in which a surface portion of the cylindrical electrode portion 152 is converted into a cylindrical gate dielectric 150, alternative methods of forming the cylindrical gate dielectric 150 are expressly contemplated herein.

Referring to fig. 14A and 14B, a blanket spacer layer 145 may optionally be formed on the plurality of cylindrical gate dielectrics 150 by conformally depositing a layer of sacrificial material and removing horizontal portions of the layer of sacrificial material using an anisotropic etch process. The sacrificial material is selected from a material that can protect the cylindrical gate dielectric 150 during a subsequent anisotropic etch process that forms an opening through the insulating cap layer 160 to physically expose a surface of the memory-level channel portion 60. For example, the sacrificial material may comprise amorphous silicon, polysilicon, or amorphous or polycrystalline carbon. The top of the blanket spacer layer 145 may extend to the horizontal plane of the top surface of the second dielectric template layer 175, or the top of the blanket spacer layer 145 may be recessed below the horizontal plane of the top surface of the second dielectric template layer 175, as shown in fig. 14.

Referring to fig. 15, and anisotropic etching is performed to form an opening through the insulating spacer layer 165 in each region surrounded by the capping spacer layer 145. The anisotropic etch may continue to recess the top surface of the dielectric core 62. The inner sidewalls of the memory-level channel portion 60 are physically exposed to the cylindrical cavity 149', which is an empty volume surrounded by the second dielectric template layer 175, the remaining portion of the blanket spacer layer 145, the remaining portion of the insulating cap layer 160, and the physically exposed surfaces of the memory-level channel portion 60. The width of the cavity through the insulating spacer layer 165 can be extended by selective isotropic etching of the insulating spacer layer 165 to expose the top surface of the memory level channel portion 60, if desired.

Referring to fig. 16, the remaining portion of the blanket spacer layer 145 may be removed, such as by an isotropic etch process, in a manner selective to the cylindrical gate dielectric 150. For example, if the capping spacer layer 145 comprises amorphous silicon or polysilicon, a wet etch using KOH solution may be employed to remove the capping spacer layer 145 in a manner that is selective to the cylindrical gate dielectric 150. The inner sidewalls of the cylindrical gate dielectric 150 and the inner sidewalls of the upper region of the memory-level channel portion 60 may be physically exposed. During this etching step, the top portion of memory level channel portion 60 extending over dielectric core 62 may also be partially or completely etched.

A layer of semiconductor material may be conformally deposited at the periphery of each cylindrical cavity 149' to form the drain select level channel layer 160L. The memory-level channel portion 60 and the drain select-level channel layer 160L may have a doping of a first conductivity type that is the conductivity type of the layer of semiconductor material 10. The dopant concentration of the memory-level channel portion 60 and the drain select-level channel layer 160L may be at 1.0 x 1015/cm3To 1.0X 1018/cm3But smaller and larger dopant concentrations may also be used. Alternatively, a drain select level channel layer160L may be undoped, i.e., not having any intentional doping and having no more than 1.0 x 1015/cm3Is intrinsic to the dopant concentration of (a).

The drain select level channel layer 160L may comprise a polycrystalline semiconductor material (such as polysilicon), or may comprise an amorphous semiconductor material (such as amorphous silicon) that may be converted to a polycrystalline semiconductor material by annealing at an elevated temperature. The thickness of the drain select level channel layer 160L may be in the range of 2nm to 50nm, such as 4nm to 25nm, although lesser and greater thicknesses may also be employed. The drain select level channel layer 160L may be deposited, for example, by chemical vapor deposition. Subsequently, a drain select level dielectric core layer 162L may be deposited in the unfilled volume of cylindrical cavity 149'. The drain select level dielectric core layer 162L includes a dielectric material, such as silicon oxide.

Referring to fig. 17, the drain select level dielectric core layer 162L and the drain select level channel layer 160L may be recessed by at least one etching process, which may include an anisotropic etching process and/or an isotropic etching process. The drain select level dielectric core layer 162L and the drain select level channel layer 160L may be recessed to a height below the stepped surface of the second dielectric template layer 175 at which the horizontal cross-sectional area of the cylindrical cavity 149' changes. Each remaining portion of the drain select level channel layer 160L constitutes a drain select level channel portion 160. Each remaining portion of the drain select level dielectric core layer 162L constitutes a drain select level dielectric core 162 laterally surrounded by a respective drain select level channel portion 160. In one implementation, each drain select level channel portion 160 can be formed directly on an exposed top surface and/or sidewalls of a respective one of the memory level channel portions 60.

Referring to fig. 18, a doped semiconductor material having a doping of the second conductivity type is deposited in the recess over the drain select level dielectric core 162 and the drain select level channel portion 160. The second conductivity type is opposite to the first conductivity type. For example, if the first conductivity type is p-type, the second conductivity type is n-type, and vice versa. Excess portions of the doped semiconductor material may be removed from above a horizontal plane including the top surface of the second dielectric template layer 175 by a planarization process, which may employ a recess etch and/or chemical mechanical planarization. Each remaining portion of doped semiconductor material constitutes a drain region 63.

Referring to fig. 19, the second dielectric template layer 175 is vertically recessed by a recess etch process that removes material of the second dielectric template layer 175 in a manner selective to the semiconductor material of the drain region 63 and the drain select level channel portion 160. For example, if the second dielectric template layer 175 comprises silicon oxide, a wet etch using hydrofluoric acid may be employed to recess the second dielectric template layer 175. The recessed top surface of the second dielectric template layer 175 may be below a horizontal plane including the interface between the drain region 63 and the drain select level channel portion 160.

Referring to fig. 20, a thin layer of dielectric material may be conformally deposited and anisotropically etched to form cylindrical dielectric spacers 182. The thin dielectric material layer comprises a dielectric material such as silicon nitride, silicon oxide or a dielectric metal oxide. The thickness of the thin dielectric material layer may be similar and/or the same as the lateral thickness of the cylindrical electrode portion 152. The anisotropic etch process may be selective to the materials of the drain region 63 and the second dielectric template layer 175. In one embodiment, the outer sidewalls of the cylindrical dielectric spacers 182 may vertically coincide with the sidewalls of the drain region 63.

Each continuous material portion formed after the formation of the insulating spacer layer 165, except for the first and second dielectric template layers (170,175), is referred to herein as a drain select level assembly 155. Thus, each combination of adjacent drain select level dielectric cores 162, drain select level channel portions 160, cylindrical gate dielectric 150, cylindrical electrode portions 152, drain regions 63, and cylindrical dielectric spacers 182 constitutes a drain select level assembly 155. Drain select level components 155 are formed as an array having the same periodicity as the array of memory stack structures 55 (or the array of memory opening fill structures 58) above the alternating stack (32,42) along the first horizontal direction hd1 and the second horizontal direction hd 2. In an alternative embodiment, if the sacrificial material layer 42 is replaced with a conductive layer 46 prior to forming the drain select level structure 155, the alternating stack includes insulating layers 32 and conductive layers 46. Each drain select level component 155 includes a drain select level channel portion 160 in contact with a respective memory level channel portion 60. Each pair of adjacent drain select level channel portions 160 and memory level channel portions 60 constitutes a vertical semiconductor channel (60, 160).

Referring to fig. 21, a dielectric material is deposited over the second dielectric template layer 175 and around the cylindrical dielectric spacers 182. The portion of the deposited dielectric material that is above the horizontal plane that includes the top surface of drain region 63 may be removed by a planarization process, such as recess etching or chemical mechanical planarization. The remaining portion of the deposited dielectric material constitutes a third dielectric template layer 180 that laterally surrounds each cylindrical dielectric spacer 182 and overlies the second dielectric template layer 175. The third dielectric template layer 180 comprises a dielectric material, such as a doped silicate glass or an undoped silicate glass (e.g., silicon oxide). The dielectric material of the third dielectric template layer 180 may be the same as or different from the dielectric material of the second dielectric template layer 175.

Referring to fig. 22A and 22B, a photoresist layer 187 may be applied over the first exemplary structure and may be lithographically patterned to form a line pattern in the region where electrical isolation between adjacent pairs of drain select gate electrodes will be provided. In one implementation, the patterned portion of photoresist layer 187 can have a pair of longitudinal sidewalls extending in a longitudinal direction of a pair of rows of memory opening fill structures 58. The first longitudinal sidewall 187A of each patterned portion of the photoresist layer 187 may overlie a first row of memory opening fill structures 58 within two rows of memory opening fill structures 58 that are adjacent row pairs, and the second longitudinal sidewall 187B of each patterned portion of the photoresist layer 187 may overlie a second row of memory opening fill structures 58 within the two rows of memory opening fill structures 58. The width of each patterned portion of the photoresist layer 187 may be in a range of 0.5 times the inter-row pitch p to 1.5 times the inter-row pitch p, and may be in a range of 0.7 times the inter-row pitch p to 1.3 times the inter-row pitch p, as measured in a direction perpendicular to the direction of the longitudinal sidewalls.

An anisotropic etch process may be performed that etches the material of the first, second, and third dielectric template layers (170,175,180) in a manner that is selective to the material of the drain region 63. For example, if the first, second, and third dielectric template layers (170,175,180) include a doped or undoped silicate glass (e.g., silicon oxide) material, an anisotropic etch process may be employed that etches silicon oxide in a manner that is selective to silicon. During the anisotropic etch process, the photoresist layer 187 and the drain region 63 protect underlying mask portions of the first, second, and third dielectric template layers (170,175,180). Thus, the combination of the photoresist layer 187 and the drain region 63 serves as an etch mask for anisotropically etching the first, second, and third dielectric template layers (170,175,180). The insulating spacer layer 165 may serve as an etch stop layer for the anisotropic etch process.

Each remaining contiguous set of portions of the first, second, and third dielectric template layers (170,175,180) constitutes a drain select level isolation strip 120. Each drain select level isolation stripe 120 may extend laterally along the first horizontal direction hd 1. Each drain select level isolation strip 120 may comprise a lower dielectric strip portion 170', a perforated dielectric strip portion 175', and an upper dielectric strip portion 180 '. The lower dielectric tape portion 170' is the remaining portion of the first dielectric template layer 170, the perforated dielectric tape portion 175' may be the remaining portion of the second dielectric template layer 175, and the upper dielectric tape portion 180' may be the remaining portion of the third dielectric template layer 180. Each drain select level isolation strip 120 comprises at least one dielectric material and may comprise two or three different dielectric materials depending on the composition of the lower dielectric strip portion 170', the perforated dielectric strip portion 175' and the upper dielectric strip portion 180 '.

Each remaining portion of the second dielectric template layer 175 not bonded to a drain select level isolation strip 120 constitutes a tubular dielectric spacer 175 "having a configuration surrounding a tube of a respective one of the drain select level assemblies 155. The tubular dielectric spacers 175 "may have the same material composition and the same height as the perforated dielectric strip portions 175'.

Referring to fig. 23A and 23B, at least one conductive material is deposited in the cavity overlying the insulating spacer layer 165 and on each cylindrical electrode portion 152. The at least one conductive material may comprise an elemental metal (such as tungsten, aluminum, copper, or cobalt), an intermetallic alloy, a conductive metal nitride material (such as TiN, TaN, or WN), or a heavily doped semiconductor material. The at least one conductive material may fill the entire volume of the cavity between the top surface of the insulating spacer layer 165 and the horizontal plane including the top surface of the drain region 63.

Portions of the deposited at least one conductive material may be removed from above a horizontal plane including the top surface of drain region 63 by a recess etch. Further, the recess etch may continue to recess the top surface of the remaining portion of the deposited at least one conductive material below the topmost surface of the cylindrical dielectric spacer 182. In one embodiment, the recessed top surface of the at least one conductive material may contact the outer sidewalls of the tubular dielectric spacers 175 ". Each remaining portion of the at least one conductive material constitutes a strip electrode portion 154 that laterally surrounds and directly contacts each cylindrical electrode portion 152 located between a pair of adjacent drain select level isolation strips 120, and directly contacts only one side of each cylindrical electrode portion 152 that is in contact with either of the pair of adjacent drain select level isolation strips 120.

Each strip electrode portion 154 includes a pair of longitudinal side walls extending generally in the first horizontal direction hd 1. Each longitudinal side wall of strip electrode portion 154 includes a laterally alternating sequence of flat side wall segments and concave side wall segments, which may be a laterally alternating sequence of vertical flat side wall segments and vertical concave side wall segments. Each set of adjacent stripe electrode portions 154 and a plurality of cylindrical electrode portions 152 laterally surrounding a respective one of the memory level channel portions 160 constitute a drain select gate electrode (152, 154). Each pair of adjacent drain select gate electrodes (152,154) is laterally spaced from each other by a respective drain select level isolation stripe 120.

Each strip electrode portion 154 is formed on a respective subset of the plurality of cylindrical electrode portions 152, the respective subset being arranged in rows extending along the first horizontal direction hd 1. Each drain select gate electrode (152,154) laterally surrounds and encircles a respective row of drain select level components 155 and contacts only one side of two rows of drain select level components 155 that are the two outermost rows of drain select level components 155 that contact a respective drain select level isolation strip 120.

Referring to fig. 24A to 24E, 25A and 25B, a dielectric filling material layer 190 is formed on the top surface of the striped electrode portions 154 to fill the gaps between the drain regions 63. The dielectric fill material layer 190 may comprise a planarizeable dielectric material, such as silicon oxide. The dielectric fill material layer 190 may be planarized to remove excess material to provide a top surface that is coplanar with the top surface of drain region 63. For example, chemical mechanical planarization or recess etching may be employed. The top surfaces of drain select level isolation stripes 120 and dielectric fill material layer 190 may be in the same horizontal plane as the top surface of drain region 63.

As shown in fig. 24B, the lower dielectric strip portion 170 'underlies the perforated dielectric strip portion 175' and contacts the sidewalls of a subset of the cylindrical electrode portions 152. In one embodiment, the lower dielectric strip portion 170 'can include two longitudinal sidewalls, and each of the two longitudinal sidewalls of the lower dielectric strip portion 170' can include a respective alternating sequence of flat sidewall segments 170P and concave sidewall segments 170C. In one embodiment, each of the two longitudinal sidewalls of the lower dielectric strip portion 170' can include a respective alternating sequence of vertical flat sidewall segments and vertical concave sidewall segments. In one embodiment, each cylindrical electrode portion 152 laterally surrounding a memory level channel portion 160 within a subset of drain select level assemblies 155 (i.e., within a pair of adjacent rows of drain select level assemblies 155) contacts a respective concave sidewall section of lower dielectric strip portion 170'.

As shown in fig. 24C and 24D, the perforated dielectric strip portion 175' includes two rows of perforations 176 arranged along the first horizontal direction hd 1. The two rows of perforations may be cylindrical openings through the perforated dielectric strip portion 175'. Each of the cylindrical openings laterally surrounds a respective one of a subset of the drain select level assemblies 155, the subset being arranged in two rows extending along the first horizontal direction hd 1. The drain select-level isolation stripes 120 may directly contact each memory-level channel portion 160 that extends through a cylindrical opening in the drain select-level isolation stripes 120.

In one embodiment, the perforated dielectric strip portion 175' includes two longitudinal sidewalls extending generally along the first horizontal direction hd 1. Each of the two longitudinal sidewalls of the perforated dielectric strip portion 175' includes a respective alternating sequence of flat sidewall segments 175P and convex sidewall segments 175C. As used herein, "flat sidewall segment" refers to a sidewall segment that is completely contained within a two-dimensional euclidean plane. As used herein, "convex sidewall section" refers to a sidewall section that is completely contained within a convex surface. As used herein, "concave side wall section" refers to a side wall section that is completely contained within a concave surface. As used herein, a structure "extends substantially in a particular direction" if the most prominent direction of extension is that particular direction. Portions of such structures may extend locally in a direction other than the particular direction, provided that the general direction and the most prominent direction of extension are the particular direction.

In one embodiment, each of the two longitudinal sidewalls of the perforated dielectric strip portion 175' comprises a respective alternating sequence of vertical flat sidewall segments 175P and vertical convex sidewall segments 175C. As used herein, "vertical flat sidewall section" refers to a flat sidewall section that extends straight in a vertical direction. As used herein, "vertical convex sidewall section" refers to a convex sidewall section that extends straight in the vertical direction. As used herein, "vertical concave sidewall section" refers to a concave sidewall section that extends straight in the vertical direction. In one embodiment, the flat sidewall sections 175P of the perforated dielectric tape portion 175 'may vertically coincide with the flat sidewall sections 170P of the lower dielectric tape portion 170'.

As shown in fig. 24E, the upper dielectric strip portion 180 'overlies the perforated dielectric strip portion 175' and includes a pair of longitudinal sidewalls extending generally in the first horizontal direction hd 1. Each of the pair of longitudinal side walls of the upper dielectric strip portion 180' may include a respective alternating sequence of flat side wall segments 180P and concave side wall segments 180C. In one embodiment, the flat sidewall sections of the perforated dielectric tape portion 175 'may vertically coincide with the flat sidewall sections of the upper dielectric tape portion 180'. In one embodiment, each of the two longitudinal sidewalls of the upper dielectric strip portion 180' may comprise a respective alternating sequence of vertical flat sidewall segments 180P and vertical concave sidewall segments 180C.

As shown in fig. 25A and 25B, the combination of a respective drain select level component 155 and a respective underlying memory opening fill structure 58 comprises a portion of a vertical NAND string 220. The combination of the respective drain select level assembly 155 and the respective underlying support pillar structure 20 includes a support structure 258.

In one implementation shown in fig. 26A-31B and described below, after forming portions of drain select level components 155 and vertical NAND strings 220, sacrificial material layer 42 is replaced with conductive layers 46 (e.g., word line/control gate electrode and source side select gate electrode). In an alternative implementation, the step of replacing the sacrificial material layer 42 with the conductive layer 46 described below can be performed prior to forming portions of the drain select level structure 155 and the vertical NAND strings 220.

Referring to fig. 26A and 26B, a contact level dielectric layer 192 may be formed over the dielectric fill material layer 190. The contact level dielectric layer 192 comprises a dielectric material such as silicon oxide and may have a thickness in the range of 50nm to 800nm, although lesser and greater thicknesses may also be employed. A photoresist layer (not shown) may be applied over the contact level dielectric layer 192 and lithographically patterned to form openings in the regions between the arrays of memory stack structures 55. An anisotropic etch may be employed to transfer the pattern in the photoresist layer through the contact level dielectric layer 192, the dielectric fill material layer 190, the insulating spacer layer 165, the alternating stack (32,42), and/or the retro-stepped dielectric material portions 65 to form the backside trenches 79. The backside trench 79 extends vertically at least to the top surface of the substrate (9,10) and laterally extends through the memory array region 100 and the contact region 300. In one embodiment, the backside trench 79 may serve as a source contact opening, where a source contact via structure may be subsequently formed. In one implementation, the backside trenches 79 may extend laterally along the first horizontal direction hd1, i.e., along the word line direction of the row of drain select level components 155. The photoresist layer may be removed, for example, by ashing.

Referring to fig. 27, an etchant may be introduced into the backside trench 79, for example, using an etching process, which etches the second material of the sacrificial material layer 42 selectively to the first material of the insulating layer 32. A backside recess 43 is formed in the volume from which the sacrificial material layer 42 is removed. The second material of the sacrificial material layer 42 may be removed selectively to the first material of the insulating layer 32, the material of the retro-stepped dielectric material portion 65, the semiconductor material of the semiconductor material layer 10, and the outermost material of the memory film 50. In one embodiment, the sacrificial material layer 42 may comprise silicon nitride, and the materials of the insulating layer 32, the dielectric fill material layer 190, the insulating spacer layer 165, and the retro-stepped dielectric material portion 65 may be selected from silicon oxide and dielectric metal oxide. In another embodiment, the sacrificial material layer 42 may comprise a semiconductor material such as polysilicon, and the material of the insulating layer 32 and the retro-stepped dielectric material portion 65 may be selected from silicon oxide, silicon nitride, and dielectric metal oxide. In this case, the depth of the backside trench 79 may be modified such that the bottommost surface of the backside trench 79 is located within the base insulating layer 12, i.e., for avoiding physical exposure of the top surface of the semiconductor material layer 10.

The etching process of selectively removing the second material with respect to the first material and the outermost layer of the memory film 50 may be a wet etching process using a wet etching solution, or may be a gas-phase (dry) etching process of introducing an etchant into the backside trench 79 in a vapor phase. For example, if the sacrificial material layer 42 comprises silicon nitride, the etching process may be a wet etching process that immerses the first exemplary structure in a wet etch bath comprising phosphoric acid that etches the silicon nitride in a manner that is selective to silicon oxide, silicon, and various other materials employed in the art. The support pillar structures 20, the retro-stepped dielectric material portions 65, and the memory stack structures 55 provide structural support when the backside recesses 43 are present within the volume previously occupied by the sacrificial material layer 42.

Each backside recess 43 may be a laterally extending cavity having a lateral dimension greater than a vertical extent of the cavity. In other words, the lateral dimension of each backside recess 43 may be greater than the height of the backside recess 43. A plurality of backside recesses 43 may be formed in the volume of the second material from which the sacrificial material layer 42 is removed. The memory openings in which the memory stack structures 55 are formed are referred to herein as front-side openings or front-side cavities, in contrast to the backside recesses 43. In one implementation, the memory array region 100 includes a monolithic three-dimensional array of NAND strings having a plurality of device levels disposed above a substrate (9, 10). In this case, each backside recess 43 may define a space for receiving a respective word line of the monolithic three-dimensional NAND string array.

Each of the plurality of backside recesses 43 may extend substantially parallel to a top surface of the substrate (9, 10). The backside recess 43 may be vertically defined by a top surface of the lower insulating layer 32 and a bottom surface of the cover insulating layer 32. In one embodiment, each of the backside recesses 43 may have a uniform height throughout.

The optional pedestal channel portion 11 and the physically exposed surface portion of the semiconductor material layer 10 may be converted into a dielectric material portion by thermally and/or plasma converting the semiconductor material into a dielectric material. For example, thermal conversion and/or plasma conversion may be employed to convert a surface portion of each pedestal channel portion 11 into a tubular dielectric spacer 116 and to convert each physically exposed surface portion of the layer of semiconductor material 10 into a planar dielectric portion 616. In one embodiment, each tubular dielectric spacer 116 may be topologically homeomorphic, i.e., substantially annular. As used herein, an element is topologically homeomorphic to a torus if the shape of the element can be continuously stretched without breaking a hole or forming a new hole into the shape of the torus. The tubular dielectric spacer 116 comprises a dielectric material comprising the same semiconductor element as the pedestal channel portion 11 and additionally comprises at least one non-metallic element such as oxygen and/or nitrogen, such that the material of the tubular dielectric spacer 116 is a dielectric material. In one embodiment, the tubular dielectric spacers 116 may comprise a dielectric oxide, a dielectric nitride, or a dielectric oxynitride of the semiconductor material of the base channel portion 11. Likewise, each planar dielectric portion 616 includes a dielectric material that includes the same semiconductor element as the layer of semiconductor material and additionally includes at least one non-metallic element such as oxygen and/or nitrogen such that the material of planar dielectric portion 616 is a dielectric material. In one embodiment, planar dielectric portion 616 may comprise a dielectric oxide, a dielectric nitride, or a dielectric oxynitride of the semiconductor material of semiconductor material layer 10.

Referring to fig. 28, a backside blocking dielectric layer 44 may be optionally formed. Backside blocking dielectric layer 44 (if present) comprises a dielectric material that serves as a control gate dielectric for a control gate subsequently formed in backside recess 43. The backside blocking dielectric layer is optional with the presence of the blocking dielectric layer 52 within each memory opening. In the case where the blocking dielectric layer 52 is omitted, a backside blocking dielectric layer is present.

A backside blocking dielectric layer 44 may be formed in the backside recesses 43 and on the sidewalls of the backside trenches 79. The backside blocking dielectric layer 44 may be formed directly on the horizontal surface of the insulating layer 32 within the backside recess 43 and the sidewalls of the memory stack structure 55. If the backside blocking dielectric layer 44 is formed, it is optional to form the tubular dielectric spacers 116 and the planar dielectric portion 616 prior to forming the backside blocking dielectric layer 44. In one embodiment, the backside blocking dielectric layer 44 may be formed by a conformal deposition process such as Atomic Layer Deposition (ALD). The backside blocking dielectric layer 44 may consist essentially of aluminum oxide. The thickness of the backside blocking dielectric layer 44 may be in the range of 1nm to 15nm, such as 2nm to 6nm, although lesser and greater thicknesses may also be employed.

The dielectric material of the backside blocking dielectric layer 44 may be a dielectric metal oxide (such as aluminum oxide), a dielectric oxide of at least one transition metal element, a dielectric oxide of at least one lanthanide element, a dielectric oxide of a combination of aluminum, at least one transition metal element, and/or at least one lanthanide element. Alternatively or in addition, the backside blocking dielectric layer may comprise a silicon oxide layer. The backside barrier dielectric layer may be deposited by a conformal deposition method such as chemical vapor deposition or atomic layer deposition. The thickness of the backside blocking dielectric layer may be in the range of 1nm to 10nm, but smaller and larger thicknesses may also be employed. A backside blocking dielectric layer is formed on the sidewalls of the backside trench 79, the horizontal surfaces and sidewalls of the insulating layer 32, the portions of the sidewall surfaces of the memory stack structure 55 that are physically exposed to the backside recess 43, and the top surface of the planar dielectric portion 616. A backside cavity 79' exists within the portion of each backside trench 79 that is not filled with the backside blocking dielectric layer.

By conformal deposition, at least one conductive material may be deposited in backside recesses 43, in peripheral portions of backside trenches 79, and over contact-level dielectric layer 192. Each successive portion of the at least one conductive material deposited in the backside recesses 43 constitutes a conductive layer 46. The conductive material deposited outside the backside recesses 43 collectively constitutes a continuous layer of metallic material (not shown) that is deposited over the contact-level dielectric layer 192 and at the peripheral portions of the backside trenches 79.

In an illustrative example, a metal barrier layer (not explicitly shown) may be deposited in the backside recess. The metal barrier layer comprises a conductive metal material that can serve as a diffusion barrier and/or adhesion promoting layer for subsequently deposited metallic filler materials. The metallic barrier layer may comprise a conductive metal nitride material such as TiN, TaN, WN, or a stack thereof, or may comprise a conductive metal carbide material such as TiC, TaC, WC, or a stack thereof. In one embodiment, the metallic barrier layer may be deposited by a conformal deposition process such as Chemical Vapor Deposition (CVD) or Atomic Layer Deposition (ALD). The thickness of the metallic barrier layer may be in the range of 2nm to 8nm, such as 3nm to 6nm, although lesser and greater thicknesses may also be employed. In one embodiment, the metallic barrier layer may consist essentially of a conductive metal nitride such as TiN.

A metallic fill material is deposited in the plurality of backside recesses 43, on the sidewalls of the at least one backside contact trench 79 and over the top surface of the contact level dielectric layer 192 to form a metallic fill material layer. The metallic fill material can be deposited by a conformal deposition method, which can be, for example, Chemical Vapor Deposition (CVD), Atomic Layer Deposition (ALD), electroless plating, electroplating, or a combination thereof. In one embodiment, the metallic filler material layer may consist essentially of at least one elemental metal. The at least one elemental metal of the metallic fill material layer may be selected from, for example, tungsten, cobalt, ruthenium, titanium, and tantalum. In one embodiment, the metallic filler material layer may consist essentially of a single elemental metal. In one embodiment, the metallic filler material layer may be formed using a fluorine-containing precursor gas such as WF6And (6) carrying out deposition. In one embodiment, the metallic filler material layer may be a tungsten layer including residual levels of fluorine atoms as impurities. The metal fill material layer, which is a metal barrier layer that blocks fluorine atoms from diffusing therethrough, is spaced apart from the insulating layer 32 and the memory stack structure 55 by a metal barrier layer.

A plurality of conductive layers 46 may be formed in the plurality of backside recesses 43. A continuous layer of metal material may be formed on the sidewalls of each backside contact trench 79 and over the contact level dielectric layer 192. Each conductive layer 46 includes a portion of a metal barrier layer and a portion of a metal fill material layer positioned between a pair of vertically adjacent dielectric material layers, which may be a pair of insulating layers 32, a bottom-most insulating layer and base insulating layer 12, or a top-most insulating layer and insulating cap layer 70. The continuous layer of metallic material includes a continuous portion of a metallic barrier layer and a continuous portion of a layer of metallic fill material located in the backside trench 79 or above the contact level dielectric layer 192.

Each sacrificial material layer 42 may be replaced by a conductive layer 46. A backside cavity is present in the portion of each backside contact trench 79 that is not filled with the backside blocking dielectric layer and the continuous metallic material layer. A tubular dielectric spacer 116 laterally surrounds the pedestal channel portion 11. In forming the conductive layer 46, the bottommost conductive layer 46 laterally surrounds each tubular dielectric spacer 116.

The deposited metallic material of the continuous layer of conductive material is etched back, for example by isotropic wet etching, anisotropic dry etching, or a combination thereof, from the sidewalls of each backside contact trench 79 and from above the contact level dielectric layer 192. Each remaining portion of the deposited metal material in the backside recesses 43 constitutes a conductive layer 46. Each conductive layer 46 may be a conductive line structure. Thus, the sacrificial material layer 42 is replaced by the conductive layer 46.

Each drain select gate electrode (152,154) serves as a drain side select gate electrode (SGD) for the vertical NAND string. One or several of the bottommost conductive layers serve as the source side select gate electrode (SGS) for the vertical NAND string. Each conductive layer 46 positioned between drain-side and source-side select gate electrodes may serve as a combination of multiple control gate electrodes positioned at the same level and word lines electrically interconnected (i.e., electrically shorted) with multiple control gate electrodes positioned at the same level. The plurality of control gate electrodes within each conductive layer 46 are control gate electrodes for a vertical memory device that includes a memory stack structure 55. In other words, each conductive layer 46 may be a word line that serves as a common control gate electrode for multiple vertical memory devices.

In one implementation, the removal of the continuous layer of conductive material may be selective to the material of the backside blocking dielectric layer 44. In this case, a horizontal portion of the backside blocking dielectric layer 44 may be present at the bottom of each backside contact trench 79. The base insulating layer 12 may be vertically spaced apart from the backside contact trench 79 by a horizontal portion of the backside blocking dielectric layer 44.

In another embodiment, the removal of the continuous layer of conductive material may not be selective to the material of the backside blocking dielectric layer 44, or the backside blocking dielectric layer 44 may not be employed. In this case, the top surface and/or sidewall surfaces of the base insulating layer 12 may be physically exposed at the bottom of the backside contact trench 79, depending on whether the base insulating layer 12 is not removed or is partially removed during the removal of the continuous layer of conductive material.

Referring to fig. 29, a layer of insulating material may be formed in the at least one backside contact trench 79 and over the contact-level dielectric layer 192 by a conformal deposition process. Exemplary conformal deposition processes include, but are not limited to, chemical vapor deposition and atomic layer deposition. The layer of insulating material comprises an insulating material such as silicon oxide, silicon nitride, a dielectric metal oxide, an organosilicate glass, or a combination thereof. In one embodiment, the layer of insulating material may comprise silicon oxide. The layer of insulating material may be formed, for example, by Low Pressure Chemical Vapor Deposition (LPCVD) or Atomic Layer Deposition (ALD). The thickness of the layer of insulating material may be in the range of 1.5nm to 60nm, but lesser and greater thicknesses may also be employed.

If a backside barrier dielectric layer 44 is present, a layer of insulating material may be formed directly on the surface of the backside barrier dielectric layer 44 and directly on the sidewalls of the conductive layer 46. If backside blocking dielectric layer 44 is not employed, a layer of insulating material can be formed directly on the sidewalls of insulating layer 32 and directly on the sidewalls of conductive layer 46.

An anisotropic etch is performed to remove horizontal portions of the layer of insulating material from above the contact level dielectric layer 192 and at the bottom of each backside contact trench 79. Each remaining portion of the layer of insulating material constitutes an insulating spacer 74. A backside cavity 79' exists within the volume surrounded by each insulating spacer 74.

The anisotropic etch process may continue with or without an etch chemistry change to remove the optional backside blocking dielectric layer 44 and the portion of the planar dielectric portion 616 that is located below the opening through the insulating spacer 74. The top surface of the semiconductor material layer 10 may be physically exposed at the bottom of each backside contact trench 79.

Source regions 61 may be formed at surface portions of the semiconductor material layer 10 below each backside cavity 79' by implanting electrical dopants into the physically exposed surface portions of the semiconductor material layer 10. Each source region 61 is formed in a surface portion of the substrate (9,10) that is located below a respective opening through the insulating spacer 74. Each source region 61 may have a lateral extent greater than a lateral extent of an opening through the insulating spacer 74 due to the diffusion of implanted dopant atoms during the implantation process and the lateral diffusion of implanted dopant atoms during the subsequent activation anneal process. Each source region 61 may have a doping of a second conductivity type opposite to the first conductivity type. For example, if the first conductivity type is p-type, the second conductivity type is n-type, and vice versa.

The upper portion of the layer of semiconductor material 10 extending between the source region 61 and the plurality of pedestal channel portions 11 constitutes a horizontal semiconductor channel 59 for a plurality of field effect transistors. The horizontal semiconductor channels 59 are connected to a plurality of vertical semiconductor channels (60,160) through respective pedestal channel portions 11. The horizontal semiconductor channel 59 contacts the source region 61 and the plurality of pedestal channel portions 11. The bottommost conductive layer 46 provided when forming the conductive layers 46 within the alternating stack (32,46) may comprise a select gate electrode of a field effect transistor. Each source region 61 is formed in an upper portion of a semiconductor substrate (9, 10).

Referring to fig. 30, a contact via structure 76 may be formed within each cavity 79'. Each contact via structure 76 may fill a respective cavity 79'. The contact via structure 76 may be formed by depositing at least one conductive material in the remaining unfilled volume of the backside contact trench 79 (i.e., the backside cavity 79'). For example, the at least one conductive material may include a conductive pad 76A and a conductive filler material portion 76B. The conductive pad 76A may comprise a conductive metal pad such as TiN, TaN, WN, TiC, TaC, WC, alloys thereof, or stacks thereof. The thickness of the conductive pad 76A may be in the range of 3nm to 30nm, although lesser and greater thicknesses may also be employed. The conductive filler material portion 76B may comprise a metal or metal alloy. For example, the conductive fill material portion 76B may include W, Cu, Al, Co, Ru, Ni, alloys thereof, or stacks thereof.

The at least one conductive material may be planarized using a contact level dielectric layer 192 overlying the alternating stack (32,46) as a stop layer. If a Chemical Mechanical Planarization (CMP) process is employed, the contact level dielectric layer 192 may serve as a CMP stop layer. Each remaining continuous portion of the at least one conductive material in the backside contact trench 79 constitutes a backside contact via structure 76. A backside contact via structure 76 extends through the alternating stack (32,46) and contacts a top surface of the source region 61. If a backside blocking dielectric layer 44 is employed, the backside contact via structure 76 may contact sidewalls of the backside blocking dielectric layer 44.

Referring to fig. 31A and 31B, additional contact via structures (88,86,8P) may be formed through the contact level dielectric layer 192, the dielectric fill material layer 190, and optionally through the retro-stepped dielectric material portion 65. For example, a drain contact via structure 88 may be formed through the contact-level dielectric material layer 192 on each drain region 63. Word line contact via structures 86 may be formed on conductive layer 46 through contact level dielectric layer 192, dielectric fill material layer 190, insulating spacer layer 165, and through backward stepped dielectric material portion 65. Peripheral device contact via structures 8P may be formed directly on respective nodes of the peripheral devices through the contact level dielectric layer 192, the dielectric fill material layer 190, the insulating spacer layer 165, and through the backward stepped dielectric material portion 65. Additional metal interconnect structures (not shown) may then be formed as desired. For example, a bit line 90 (shown schematically in fig. 31B) extending in the second horizontal direction hd2 may be formed to provide electrical contact to the drain contact via structure 88.

Referring collectively to all of the figures relating to the first embodiment, the first exemplary structure may comprise a three-dimensional memory device. The three-dimensional memory device may include: an alternating stack of insulating layers 32 and conductive layers 46 over the substrates (9, 10); an array of memory stack structures 55 extending through the alternating stack (32,46) and arranged in rows extending along a first horizontal direction hd1 and spaced apart along a second horizontal direction hd2, wherein each memory stack structure 55 includes a memory film 50 and a memory level channel portion 60 contacting inner sidewalls of the memory film 50; an array of drain select level components 155 overlying the alternating stack and having the same periodicity as the array of memory stack structures 55 along the first horizontal direction hd1 and the second horizontal direction hd2, wherein each drain select level component 155 comprises a drain select level channel portion 160 contacting a respective memory level channel portion 60; drain select gate electrodes (152,154) laterally surrounding respective rows of drain select level components 155; and a drain select level isolation strip 120 comprising at least one dielectric material and located between a pair of adjacent drain select gate electrodes (152, 154).

Each drain select gate electrode (152,154) may include: a strip electrode portion 154 including a pair of longitudinal side walls extending generally in a first horizontal direction hd 1; and a plurality of cylindrical electrode portions 152 laterally surrounding a respective one of the drain select level channel portions 160. An array of cylindrical gate dielectrics 150 may be located between a respective one of the cylindrical electrode portions 152 and a respective one of the drain select level channel portions 160.

In one implementation, the drain select gate electrode (152,154) may be formed on a top surface of an insulating spacer layer 165 through which the drain select level channel portion 160 extends. In one implementation, the insulating spacer layer 165 may contact the topmost surface of each memory film 50. Each drain select level channel portion 160 may extend vertically through a respective opening in insulating spacer layer 165. In one implementation, insulating spacer layer 165 contacts a bottom surface of each of the drain select gate electrodes (152, 154). The array of drain regions 63 may contact an upper end of a respective one of the drain select level channel portions 160.

Referring to fig. 32, showing a second exemplary structure according to a second embodiment of the present disclosure, the second exemplary structure may be derived from the first exemplary structure shown in fig. 2 by forming a layer stack including at least one sacrificial matrix layer (142,169) in place of the insulating cap layer 70. In one embodiment, the at least one sacrificial matrix layer (142,169) may include a first sacrificial matrix layer 142 and a second sacrificial matrix layer 169 formed over the first sacrificial matrix layer 142. Each of the first and second sacrificial matrix layers (142,169) includes a material that can be removed in a manner that is selective to the material of the underlying layer. In an illustrative example, the first sacrificial matrix layer 142 may comprise the same material as the sacrificial material layer 42, and the second sacrificial matrix layer 169 may comprise the same material as the insulating layer 32. In one embodiment, the first sacrificial matrix layer 142 may comprise silicon nitride and the second sacrificial matrix layer 169 may comprise silicon oxide. In one embodiment, the thickness of the first sacrificial matrix layer 142 may range from two times the average thickness of the sacrificial material layers 42 to six times the average thickness of the sacrificial material layers 42, and the thickness of the second sacrificial matrix layer 169 may range from the average thickness of the insulating layer 32 to three times the average thickness of the insulating layer 32.

Referring to fig. 33, the processing steps of fig. 3 may be performed to form mesa regions and stepped cavities and to form backward stepped dielectric material portions 65. The second sacrificial matrix layer 169 may be patterned in the same manner as the insulating cap layer 70 of the first embodiment, and the first sacrificial matrix layer 142 may be patterned in the same manner as the topmost one of the sacrificial material layers 42 of the first embodiment.

Referring to fig. 34A and 34B, the processing steps of fig. 4A and 4B may be performed to form the memory opening 49 and the support opening 19. The memory openings 49 may have the same pattern as in the first embodiment, and thus may form a two-dimensional array having a uniform inter-row spacing p along the second horizontal direction hd 2. The region R in fig. 34B corresponds to a region in which a two-dimensional array of memory openings 49 is provided. The two-dimensional array of memory openings 49 may be a two-dimensional periodic array of memory openings 49.

Fig. 35A to 35G illustrate structural changes in the memory opening 49 during formation of a memory stack structure, which is one of the memory openings 49 in the second exemplary structure of fig. 34A and 34B. The same structural changes occur in each of the other reservoir openings 49 and the support openings 19 simultaneously.

Referring to fig. 35A, a memory opening 49 in the second exemplary device structure of fig. 34A and 34B is shown. The memory opening 49 extends through the second sacrificial matrix layer 169, the first sacrificial matrix layer 142, the alternating stack (32,42), the base insulating layer 12, and optionally into an upper portion of the layer of semiconductor material 10. In this processing step, each support opening 19 may extend through a retro-stepped dielectric material portion 65, a subset of layers in the alternating stack (32,42), the base insulating layer 12, and optionally through an upper portion of the semiconductor material layer 10. The recess depth of the bottom surface of each memory opening relative to the top surface of the layer of semiconductor material 10 may be in the range of 0nm to 30nm, although greater recess depths may also be employed. Optionally, the sacrificial material layer 42 may be partially laterally recessed, such as by isotropic etching, to form lateral recesses (not shown).

Referring to fig. 35B, an optional pedestal channel portion (e.g., an epitaxial pedestal) 11 may be formed at a bottom portion of each memory opening 49 and each support opening 19, e.g., by selective epitaxy. The susceptor channel portion 11 of the second embodiment may be identical in structure and composition to the susceptor channel portion 11 of the first embodiment, and may be formed using the same selective deposition process.

Referring to fig. 35C, a layer stack including a blocking dielectric layer 52, a charge storage layer 54, a tunneling dielectric layer 56, and an optional first semiconductor channel layer 601 may be sequentially deposited in the memory opening 49. Each of the blocking dielectric layer 52, the charge storage layer 54, the tunneling dielectric layer 56, and the optional first semiconductor channel layer 601 may have the same composition and/or thickness as in the first embodiment, and may be formed in the same manner as in the first embodiment.

Referring to fig. 35D, the optional first semiconductor channel layer 601, the tunneling dielectric layer 56, the charge storage layer 54, and the blocking dielectric layer 52 are sequentially anisotropically etched in the same manner as in the first embodiment using at least one anisotropic etching process. A set of successive blocking dielectric layer 52, charge storage layer 54, and tunneling dielectric layer 56 in memory opening 49 form a memory film 50 that includes a plurality of charge storage regions (e.g., embodied as charge storage layer 54) that are isolated from surrounding materials by blocking dielectric layer 52 and tunneling dielectric layer 56. In one embodiment, the first semiconductor channel layer 601, the tunneling dielectric layer 56, the charge storage layer 54, and the blocking dielectric layer 52 may have vertically coincident sidewalls. A cavity 49' may be present inside each reservoir opening 49 and inside each support opening 19.

Referring to fig. 35E, the second semiconductor channel layer 602 may be deposited directly on the semiconductor surface of the pedestal channel portion 11 (or on the semiconductor substrate layer 10 if the pedestal channel portion 11 is omitted) and directly on the first semiconductor channel layer 601. The second semiconductor channel layer 602 may have the same composition and/or structure as the second semiconductor channel layer 602 of the first embodiment. In the case where the memory opening 49 and the support opening 19 are not completely filled with the second semiconductor channel layer 602, a dielectric core layer 62L including a dielectric material may be deposited in the unfilled volume of the memory opening 49 and the support opening 19. The dielectric core layer 62L may include silicon oxide.

Referring to fig. 35F, the dielectric core layer 62L may be vertically recessed to remove a horizontal portion of the dielectric core layer 62L from above the top surface of the second semiconductor channel layer 602. Subsequently, the dielectric core layer 62L may be further recessed in a manner selective to the second semiconductor channel layer 602 such that the recessed top surface of the remaining portion of the dielectric core layer 62L is located below the horizontal plane including the top surface of the second sacrificial matrix layer 169. Each remaining portion of the dielectric core layer 62L constitutes a dielectric core 62, which may be a dielectric pillar structure positioned entirely within a respective one of the memory opening 49 and the support opening 19.

Referring to fig. 35G, a doped semiconductor material having a doping of a second conductivity type (opposite the first conductivity type) may be deposited within the cavity overlying the dielectric core 62. The deposited doped semiconductor material and the portion of the second semiconductor channel layer 602 that is above a horizontal plane including the top surface of the second sacrificial matrix layer 160 may be removed by a planarization process. The planarization process may employ recess etching or chemical mechanical planarization. Each remaining portion of doped semiconductor material overlying a respective dielectric core 62 constitutes a drain region 63.

Each contiguous pair of the first semiconductor channel layer 601 and the second semiconductor channel layer 602 may collectively form a vertical semiconductor channel 60 through which current may flow when a vertical NAND device including the vertical semiconductor channel 60 is turned on. A tunneling dielectric layer 56 is surrounded by the charge storage layer 54 and laterally surrounds portions of the vertical semiconductor channel 60. Each set of adjacent blocking dielectric layer 52, charge storage layer 54, and tunneling dielectric layer 56 collectively comprise a memory film 50 that can store charge with macroscopic retention time. In some embodiments, the blocking dielectric layer 52 may not be present in the memory film 50 at this step, and may be subsequently formed after the backside recess is formed. As used herein, a macroscopic retention time refers to a retention time suitable for operation of a memory device as a persistent memory device, such as a retention time in excess of 24 hours.

Referring to fig. 36A-36C, a second exemplary structure is shown after the processing steps of fig. 35G. Each combination of the memory film 50 and the vertical semiconductor channel 60 (which is a portion of the vertical semiconductor channel) within the memory opening 49 constitutes a memory stack structure 55. Memory stack structure 55 is a combination of a vertical semiconductor channel 60, a tunneling dielectric layer 56, a plurality of memory elements implemented as part of charge storage layer 54, and an optional blocking dielectric layer 52. Each combination of pedestal channel portion 11, memory stack structure 55, optional dielectric core 62, and drain region 63 located within memory opening 49 is referred to herein as a memory opening fill structure 58. Each combination of the pedestal channel portion 11, the memory film 50, the vertical semiconductor channel 60, the optional dielectric core 62, and the drain region 63 within each support opening 19 fills the respective support opening 19 and constitutes a support pillar structure 20 (i.e., a dummy structure that is not electrically connected to a bit line). Each drain region 63 within the support post structure 20 is an electrically inactive dummy structure and is not directly contacted by any of the conductive structures described above.

An example of a memory opening fill structure 58 may be formed within each memory opening 49 of the structures of fig. 34A and 34B. An example of a support post structure 20 may be formed within each support opening 19 of the structure of fig. 34A and 34B. Each exemplary memory stack structure 55 includes a vertical semiconductor channel 60, which may include a plurality of semiconductor channel layers (601,602) and a memory film 50. The memory film 50 may include a tunneling dielectric layer 56 laterally surrounding a vertical semiconductor channel 60 and a vertical stack of charge storage regions laterally surrounding the tunneling dielectric layer 56 (as implemented as a memory material layer 54) and an optional blocking dielectric layer 52. Although the present disclosure is described using the illustrated configuration for the memory stack structure, the methods of the present disclosure may be applied to alternative memory stack structures including different layer stacks or structures for the memory film 50 and/or for the vertical semiconductor channel 60.

An array of memory stack structures 55 extends through the at least one sacrificial matrix layer (142,169) and the alternating stacks (32,42) and are arranged into rows extending in a first horizontal direction hd1 at a first pitch and spaced apart in a second horizontal direction hd2 at a second pitch for each pair of adjacent rows. The at least one sacrificial matrix layer may then be removed in a manner selective to the memory opening fill structures 58 and the support opening fill structures 20 (169,142).

Referring to fig. 37, the second sacrificial matrix layer 169 may be removed in a manner that is selective to the memory opening fill structures 58 and the semiconductor material supporting the opening fill structures 20. In one embodiment, the removal of the second sacrificial matrix layer 169 may be selective to the first sacrificial matrix layer 142. In one embodiment, the second sacrificial matrix layer 169 may comprise silicon oxide and the first sacrificial matrix layer 142 may comprise silicon nitride. In this case, the second sacrificial matrix layer 169 may be removed in a selective manner to the first sacrificial matrix layer 142 by a wet etching process using hydrofluoric acid. The physically exposed portions of the barrier dielectric layer 52 may be etched in parallel. For example, if the barrier dielectric layer 52 and the second sacrificial matrix layer 160 comprise silicon oxide, the physically exposed upper end portions of the barrier dielectric layer 52 may be etched in parallel during the removal of the second sacrificial matrix layer 160.

Referring to fig. 38, the first sacrificial matrix layer 142 may be removed in a manner that is selective to the semiconductor material of the memory opening fill structures 58 and the support opening fill structures 20 and selective to the insulating material of the insulating layer 32. For example, if the first sacrificial matrix layer 142 includes silicon nitride, a wet etch using hot phosphoric acid may be used to remove the first sacrificial matrix layer 142. The physically exposed portions of memory film 50 may be etched in parallel. For example, if charge storage layer 54 comprises silicon nitride, an upper end portion of charge storage layer 54 may be etched in parallel during removal of first sacrificial matrix layer 142. Generally, the etching process to remove the second sacrificial matrix layer and the first sacrificial matrix layer (169,142) may physically expose the upper portion of the memory stack structure 55 and may partially etch the upper end portion of the memory film 50 in parallel.

Referring to fig. 39, a gate dielectric 250 may be formed on the outer sidewalls of the portion of the vertical semiconductor channel 60 protruding above the horizontal surface comprising the topmost surface of the alternating stack (32, 42). In one implementation, the remaining portion of the memory film 50 above the horizontal surface comprising the topmost surface of the alternating stack (32,42) may be completely removed by a set of etch processes that sequentially etch the various materials of the memory film 50 from the outside to the inside. For example, a series of wet etch processes may be employed to completely remove the memory film 50 from above the horizontal surfaces including the topmost surfaces of the alternating stacks (32, 42). Subsequently, a conformal gate dielectric layer may be deposited and anisotropically etched to form gate dielectrics 250, each of which may have a cylindrical shape. Alternatively or additionally, the physically exposed surface portions of the vertical semiconductor channel 60 may be converted into a gate dielectric material by a conversion process, which may include thermal oxidation, plasma oxidation, thermal nitridation and/or plasma nitridation. In the case where a dielectric material portion (not shown) is formed on the top surface of the drain region 63, such dielectric material portion may be removed in a subsequent processing step.

Alternatively, at least one of the component layers within each memory film 50 may not be completely removed and may be incorporated into the gate dielectric 250. For example, after removing the physically exposed portions of charge storage layer 54 from each memory film 50, tunneling dielectric layer 56 may remain, and may become gate dielectric 250 or may be incorporated as a component of gate dielectric 250. In another example, after removing the first sacrificial matrix layer 142, a portion of the charge storage layer 54 may remain and may be converted to a silicon oxynitride layer or a silicon oxide layer, which is incorporated into the gate dielectric 250, by a thermal oxidation process or a plasma oxidation process. In addition, a layer of gate dielectric material (such as a dielectric metal oxide layer) can be deposited on any remaining portion of the memory film 50 that is incorporated into the gate dielectric 250. In general, the gate dielectric 250 may be formed by depositing a dielectric material and/or in conjunction with any remaining portions or any converted portions of the memory film 50 remaining above horizontal surfaces including the topmost surface of the alternating stack (32,42), for example, by oxidation or nitridation.

Referring to fig. 40, a conformal conductive material layer may be conformally deposited on the outer sidewalls of the gate dielectric 250, on the top surface of the topmost insulating layer 32, on the top surface of the vertical semiconductor channel 60, and on the drain region 63 by a conformal deposition process. The conformal conductive material layer may comprise a layer of heavily doped (conductive) semiconductor material, an elemental metal (such as tungsten), an intermetallic alloy, or a conductive metal nitride (such as TiN, TaN, or WN). The thickness of the conformal conductive material layer may be in the range of 3nm to 50nm, such as 15nm to 30nm, although lesser and greater thicknesses may also be employed.

An anisotropic etch is performed to remove horizontal portions of the layer of gate electrode material from over the drain region 63 and from over the topmost insulating layer 32. Each remaining cylindrical portion of the conformal conductive material layer constitutes a cylindrical electrode portion 252. Each cylindrical electrode portion 252 has a tubular configuration, contacts and laterally surrounds the gate dielectric 250, and laterally surrounds an upper portion of the vertical semiconductor channel 60.

Referring to fig. 41A and 41B, an etch mask layer 253 may be formed by filling gaps between the cylindrical electrode portions 252 with an etch mask material. The etch mask material comprises a material different from the material of the drain region 63, the vertical semiconductor channel 60, the gate dielectric 250 and the cylindrical electrode portion 252. For example, the etch mask layer 253 may include silicon nitride, photoresist, amorphous carbon, or polycrystalline carbon. The portions of material of etch mask layer 253 protruding above the horizontal plane including the top surface of drain region 63 may be removed by a planarization process, such as chemical mechanical planarization or recess etching.

Referring to fig. 42, the etch mask layer 253 may be vertically recessed such that a recessed top surface of the etch mask layer 253 is at a target height for an upper end of the cylindrical electrode portion 252. In other words, the thickness of the remaining portion of the etching mask layer 253 may be the same as the target height of the cylindrical electrode portion 252.

Referring to fig. 43, an upper portion of the cylindrical electrode portion 252 (which is the remaining portion of the conformal conductive material layer) may be trimmed by an anisotropic etch that etches the material of the cylindrical electrode portion 252. The etching mask layer 253 protects the region of the cylindrical electrode portion 252 surrounded by the etching mask layer 253. In case the cylindrical electrode portion 252 comprises a doped semiconductor material, the top surface of the vertical semiconductor channel 60 and the drain region 63 may be recessed in parallel during trimming of the cylindrical electrode portion 252.

The etch mask layer 253 can then be removed, for example by selective etching (e.g., hot phosphoric acid for a silicon nitride etch mask layer 253) or by ashing for a photoresist or carbon etch mask layer 253, in a manner selective to the cylindrical electrode portion 252, the drain region 63 and the vertical semiconductor channel 60. A plurality of cylindrical electrode portions 252 are provided around a corresponding one of the vertical semiconductor channels 60. The plurality of cylindrical electrode portions 252 may comprise a two-dimensional array having the same periodicity as the memory stack structure 55. Each of the plurality of cylindrical electrode portions 252 laterally surrounds and encircles a respective gate dielectric 250.

Referring to FIG. 44, a dielectric template layer 270L may be formed between the cylindrical electrode portions 252. The dielectric template layer 270L comprises a planarizeable dielectric material, such as a doped silicate glass or an undoped silicate glass (e.g., silicon oxide), and may be deposited by a conformal deposition process. Optionally, a reflow process employing annealing may be performed to remove voids formed during the initial deposition process. The dielectric template layer 270L may be deposited directly on the outer sidewalls of the plurality of cylindrical electrode portions 252 and on the outer sidewalls of the gate dielectric 250. The dielectric template layer 270 may be planarized using a planarization process, such as chemical mechanical planarization. Upon planarization, the top surface of the dielectric template layer 270 may be within a horizontal plane that includes the top surface of the drain region 63.

Referring to fig. 45, the dielectric template layer 270L and the gate dielectric 250 may be recessed vertically below a horizontal plane including the top surface of the drain region 63. For example, a wet etch with hydrofluoric acid may be used to vertically recess the dielectric template layer 270L. The recessed top surface of the dielectric template layer 270L may be above a horizontal plane that includes the top surface of the cylindrical electrode portion 252. In one embodiment, the recessed top surface of the dielectric template layer 270L may be located between a horizontal plane including the top surface of the drain region 63 and a horizontal plane including the bottom surface of the drain region 63. In an illustrative example, the vertical distance between the recessed top surface of the dielectric template layer 270L and the horizontal plane including the top surface of the drain region 63 may be in the range of 10nm to 100nm, such as 25nm to 40nm, although smaller and larger vertical distances may also be employed.

Referring to fig. 46A and 46B, an etch mask material is conformally deposited and anisotropically etched to form an etch mask ring 274 (e.g., a cylindrical sidewall spacer) that is self-aligned to drain region 63. The etch mask material is a material that is resistant to the chemistry of the anisotropic etch process that will be used subsequently to pattern the dielectric template layer 270L. The etch mask material may be a conductive material, such as a metal material, e.g., a metal or a conductive metal nitride; a doped semiconductor material (e.g., polysilicon or amorphous silicon) or an undoped semiconductor material having a second conductivity type (i.e., the same conductivity type as the drain region 63); or a dielectric material such as a dielectric metal oxide (e.g., amorphous alumina). Conformal deposition of the etch mask material may be performed by chemical vapor deposition or atomic layer deposition. The thickness of the deposited etch mask material may be approximately the same as the thickness of the cylindrical electrode portion 252, such as 3nm to 50 nm. The anisotropic etch process removes horizontal portions of the deposited etch mask material to form etch mask ring 274. An array of etch mask rings 274 laterally surround a respective one of the drain regions 63. In the case where the etch mask material comprises a heavily doped semiconductor material, the etch mask ring 274 may serve as an additional drain region upon which a drain contact via structure may then be formed to provide an additional current path to reduce the on-resistance of a vertical field effect transistor comprising the vertical semiconductor channel 60. Etch mask ring 274 may be formed as discrete structures that do not contact each other.

Referring to fig. 47A-47C, a photoresist layer 277 may be applied over the second exemplary structure and may be lithographically patterned to form a line pattern in the region where electrical isolation between adjacent pairs of drain select gate electrodes will be provided. In one implementation, the patterned portion of photoresist layer 277 can have a pair of longitudinal sidewalls extending in a longitudinal direction of a pair of rows of memory opening fill structures 58. The first longitudinal sidewall 277A of each patterned portion of the photoresist layer 277 may overlie a first row of memory opening fill structures 58 within two rows of memory opening fill structures 58 that are adjacent pairs of rows, and the second longitudinal sidewall 277B of each patterned portion of the photoresist layer 277 may overlie a second row of memory opening fill structures 58 within the two rows of memory opening fill structures 58. The width of each patterned portion of the photoresist layer 277 may be in a range of 0.5 times the inter-row pitch p to 1.5 times the inter-row pitch p, and may be in a range of 0.7 times the inter-row pitch p to 1.3 times the inter-row pitch p, as measured in a direction perpendicular to the direction of the longitudinal sidewalls.

An anisotropic etch process may be performed that etches the dielectric template layer 270L in a manner that is selective to the material of the drain region 63, the vertical semiconductor channel 60, and the etch mask ring 274. For example, if the dielectric template layer 270L comprises a doped or undoped silicate glass (e.g., silicon oxide) material, and if the drain region 63, the vertical semiconductor channel 60, and the etch mask ring 274 comprise a semiconductor material (such as polysilicon), an anisotropic etch process may be employed that etches silicon oxide in a manner that is selective to the semiconductor material. The photoresist layer 277, the drain region 63, the vertical semiconductor channel 60, and the etch mask ring 274 protect underlying mask portions of the dielectric template layer 270L during the anisotropic etch process. Thus, the combination of patterned photoresist layer 277 and etch mask ring 274 are used as an etch mask during anisotropic etching. In particular, the combination of the photoresist layer 277, the drain region 63, the vertical semiconductor channels 60, and the etch mask ring 274 serve as an etch mask for anisotropically etching the dielectric template layer 270L. The anisotropic etch process may stop on or within the topmost insulating layer 32.

Each portion of the dielectric template layer 270L underlying the patterned portion of photoresist layer 277 or etch mask ring 274 contacting the patterned portion of photoresist layer 277 is patterned into drain select level isolation strips 270. Each portion of the dielectric template layer 270L underlying the etch mask ring 274 that does not contact any patterned portion of the photoresist layer 277 is patterned into a tubular dielectric spacer 270'. The drain select level isolation strip 270 includes the remaining portion of the dielectric template layer 270L.

As shown in fig. 47C, each drain select level isolation strip 270 includes an upper portion overlying cylindrical electrode portion 252 and a lower portion contacting sidewalls of a respective subset of cylindrical electrode portions 252. The upper portion of each drain select level isolation stripe 270 includes two rows of perforations arranged along the first horizontal direction hd 1. The two rows of perforations 276 may be cylindrical openings. Each of the cylindrical openings laterally surrounds a respective one of a subset of the vertical semiconductor channels 60 arranged in two rows extending along the first horizontal direction hd 1. An upper portion of each drain select level isolation strip 270 may directly contact two rows of gate dielectric 250.

In one implementation, the upper portion of each drain select level isolation stripe 270 includes two longitudinal sidewalls extending generally along the first horizontal direction hd 1. Each of the two longitudinal sidewalls of the upper portion of each drain select level spacer strip 270 comprises a respective alternating sequence of flat sidewall segments 270P and convex sidewall segments 270C. In one embodiment, each of the two longitudinal sidewalls of the upper portion of each drain select level spacer strip 270 comprises a respective alternating sequence of vertical flat sidewall segments 270P and vertical convex sidewall segments 270C.

As shown in fig. 47B, a lower portion of each drain select level isolation strip 270 contacts a sidewall of a subset of the cylindrical electrode portions 252. In one embodiment, the lower portion of each drain select level spacer strip 270 may comprise two longitudinal sidewalls, and each of the two longitudinal sidewalls of the lower portion of each drain select level spacer strip 270 may comprise a respective alternating sequence of flat sidewall segments 270X and concave sidewall segments 270Y. In one embodiment, the flat sidewall segments 270P of the upper portion of each drain select level spacer 270 may vertically coincide with the flat sidewall segments 270X of the lower portion of the same drain select level spacer 270. In one embodiment, each of the two longitudinal sidewalls of the lower portion of each drain select level spacer strip 270 may comprise a respective alternating sequence of vertical flat sidewall segments 270X and vertical concave sidewall segments 270Y.

In one embodiment, each cylindrical electrode portion 252 laterally surrounding a vertical semiconductor channel 60 within a pair of adjacent rows of vertical semiconductor channels 60 contacts a respective concave sidewall section of a lower portion of each drain select level spacer strip 270.

In one implementation, additional patterned portions of photoresist layer 277 may cover all areas where formation of a drain select gate electrode is not desired. For example, after lithographically patterning the photoresist layer 277, the portions of the peripheral device region 200 and the contact region 300 overlying the stepped surface of the sacrificial material layer 42 may be covered by a continuous remaining portion of the photoresist layer 277. In this case, the portion of the dielectric template layer 270L covered by the continuously patterned portion of the photoresist layer 277 is not affected by the anisotropic etch process that forms the drain select level isolation strips 270. Photoresist layer 277 may be removed, such as by ashing, after formation of drain select level isolation strips 270.

Referring to fig. 48A-48C, at least one conductive material is deposited in the recessed regions between adjacent pairs of drain select level isolation stripes 270. The at least one conductive material may comprise an elemental metal (such as tungsten, aluminum, copper, or cobalt), an intermetallic alloy, a conductive metal nitride material (such as TiN, TaN, or WN), or a heavily doped semiconductor material. The at least one conductive material may fill the entire volume of the recessed region between the top surface of the topmost insulating layer 32 and the horizontal plane including the top surface of the drain region 63. Portions of the deposited at least one conductive material may be removed from above a horizontal plane including the top surface of drain region 63 by a recess etch.

Referring to fig. 49, the recess etch may continue to recess the top surface of the remaining portion of the at least one conductive material deposited below the topmost surface of the tubular dielectric spacers 270' and drain select level isolation strips 270. In one embodiment, the recessed top surface of the at least one conductive material may contact an outer sidewall of the tubular dielectric spacer 270' or an outer sidewall of the cylindrical electrode portion 252. Each remaining portion of the at least one conductive material constitutes a strip electrode portion 254 that laterally surrounds and directly contacts each cylindrical electrode portion 252 between a pair of adjacent drain select level isolation strips 270, and directly contacts only one side of each cylindrical electrode portion 252 that is in contact with either of the pair of adjacent drain select level isolation strips 270.

Each strip electrode portion 254 includes a pair of longitudinal side walls extending generally in the first horizontal direction hd 1. Each longitudinal side wall of strip electrode portion 254 includes a laterally alternating sequence of flat side wall segments and concave side wall segments, which may be a laterally alternating sequence of vertical flat side wall segments and vertical concave side wall segments. Each set of adjacent strip electrode portions 254 and a plurality of cylindrical electrode portions 252, which laterally surround a respective one of the vertical semiconductor channels 60, constitute drain select gate electrodes (252, 254). Each pair of adjacent drain select gate electrodes (252,254) is laterally spaced from each other by a respective drain select level isolation strip 270.

Each strip electrode portion 254 is formed on a respective subset of the plurality of cylindrical electrode portions 252, the respective subset being arranged in rows extending along the first horizontal direction hd 1. Each drain select gate electrode (252,254) laterally surrounds and encircles a respective row of vertical semiconductor channels 60 and contacts only one side of two rows of gate dielectrics 250, the two rows of gate dielectrics being the two outermost rows of gate dielectrics 250 contacting a respective drain select level isolation strip 270.

Drain select gate electrodes (252,254) are formed around an upper portion of the vertical semiconductor channel 60. A drain select level isolation strip 270 may be formed between an adjacent pair of drain select gate electrodes (252, 254).

Referring to fig. 50A, 50B, 51A, and 51B, a dielectric fill material layer 290 is formed on the top surface of the strip electrode portion 254 to fill the gap between the etch mask rings 274. The dielectric fill material layer 290 may comprise a planarizeable dielectric material, such as silicon oxide. Dielectric fill material layer 290 may be planarized to remove excess material to provide a top surface that is coplanar with the top surfaces of drain region 63 and etch mask ring 274. For example, chemical mechanical planarization or recess etching may be employed. The top surfaces of the drain select level isolation stripes 270 and the layer of dielectric fill material 290 may be in the same horizontal plane as the top surface of the drain region 63.

Fig. 52A to 55 show steps of replacing the sacrificial material layer 42 with the conductive layer 46. In one embodiment, these steps may be performed after the step shown in FIG. 44 in which the dielectric template layer 270L is formed. Alternatively, the steps shown in fig. 51A and 51B may be performed after the steps. Referring to fig. 52A and 52B, a contact level dielectric layer 292 may be formed over the dielectric fill material layer 290. The contact level dielectric layer 292 comprises a dielectric material, such as silicon oxide, and may have a thickness in the range of 50nm to 800nm, although lesser and greater thicknesses may also be employed. A photoresist layer (not shown) may be applied over the contact level dielectric layer 292 and lithographically patterned to form openings in the regions between the arrays of memory stack structures 55. An anisotropic etch may be employed to transfer the pattern in the photoresist layer through the dielectric template layer 270L, the alternating stack (32,42), and/or the retro-stepped dielectric material portions 65 (and optionally through the contact level dielectric layer 292 and the dielectric fill material layer 290 if such layers are present at this step) to form the backside trenches 79. The backside trench 79 extends vertically at least to the top surface of the substrate (9,10) and laterally extends through the memory array region 100 and the contact region 300. In one embodiment, the backside trench 79 may serve as a source contact opening, where a source contact via structure may be subsequently formed. In one implementation, the backside trenches 79 may extend laterally along the first horizontal direction hd1, i.e., along the word line direction of the row of memory stack structures 55. The photoresist layer may be removed, for example, by ashing.

Referring to fig. 53, an etchant may be introduced into the backside trench 79, for example, using an etching process, which etches the second material of the sacrificial material layer 42 selectively to the first material of the insulating layer 32. A backside recess 43 is formed in the volume from which the sacrificial material layer 42 is removed. The second material of the sacrificial material layer 42 may be removed in a manner that is selective to the first material of the insulating layer 32, the material of the contact level dielectric layer 292, the dielectric fill material layer 290, the dielectric template layer 270L, the material of the retro-stepped dielectric material portion 65, the semiconductor material of the semiconductor material layer 10, and the material of the outermost layer of the memory film 50. In one embodiment, the sacrificial material layer 42 may comprise silicon nitride, and the materials of the insulating layer 32, the contact level dielectric layer 292, the dielectric fill material layer 290, the dielectric template layer 270, and the retro-stepped dielectric material portion 65 may be selected from silicon oxide and dielectric metal oxide. In another embodiment, the sacrificial material layer 42 may comprise a semiconductor material such as polysilicon, and the material of the insulating layer 32 and the retro-stepped dielectric material portion 65 may be selected from silicon oxide, silicon nitride, and dielectric metal oxide. In this case, the depth of the backside trench 79 may be modified such that the bottommost surface of the backside trench 79 is located within the base insulating layer 12, i.e., for avoiding physical exposure of the top surface of the semiconductor material layer 10.

The etching process of selectively removing the second material with respect to the first material and the outermost layer of the memory film 50 may be a wet etching process using a wet etching solution, or may be a gas-phase (dry) etching process of introducing an etchant into the backside trench 79 in a vapor phase. For example, if the sacrificial material layer 42 comprises silicon nitride, the etching process may be a wet etching process that immerses the first exemplary structure in a wet etch bath comprising phosphoric acid that etches the silicon nitride in a manner that is selective to silicon oxide, silicon, and various other materials employed in the art. The support pillar structures 20, the retro-stepped dielectric material portions 65, and the memory stack structures 55 provide structural support when the backside recesses 43 are present within the volume previously occupied by the sacrificial material layer 42.

Each backside recess 43 may be a laterally extending cavity having a lateral dimension greater than a vertical extent of the cavity. In other words, the lateral dimension of each backside recess 43 may be greater than the height of the backside recess 43. A plurality of backside recesses 43 may be formed in the volume of the second material from which the sacrificial material layer 42 is removed. The memory openings in which the memory stack structures 55 are formed are referred to herein as front-side openings or front-side cavities, in contrast to the backside recesses 43. In one implementation, the memory array region 100 includes a monolithic three-dimensional array of NAND strings having a plurality of device levels disposed above a substrate (9, 10). In this case, each backside recess 43 may define a space for receiving a respective word line of the monolithic three-dimensional NAND string array.

Each of the plurality of backside recesses 43 may extend substantially parallel to a top surface of the substrate (9, 10). The backside recess 43 may be vertically defined by a top surface of the lower insulating layer 32 and a bottom surface of the cover insulating layer 32. In one embodiment, each of the backside recesses 43 may have a uniform height throughout.

The optional pedestal channel portion 11 and the physically exposed surface portion of the semiconductor material layer 10 may be converted into a dielectric material portion by thermally and/or plasma converting the semiconductor material into a dielectric material. For example, thermal conversion and/or plasma conversion may be employed to convert a surface portion of each pedestal channel portion 11 into a tubular dielectric spacer 116 and to convert each physically exposed surface portion of the layer of semiconductor material 10 into a planar dielectric portion 616. In one embodiment, each tubular dielectric spacer 116 may be topologically homeomorphic, i.e., substantially annular. As used herein, an element is topologically homeomorphic to a torus if the shape of the element can be continuously stretched without breaking a hole or forming a new hole into the shape of the torus. The tubular dielectric spacer 116 comprises a dielectric material comprising the same semiconductor element as the pedestal channel portion 11 and additionally comprises at least one non-metallic element such as oxygen and/or nitrogen, such that the material of the tubular dielectric spacer 116 is a dielectric material. In one embodiment, the tubular dielectric spacers 116 may comprise a dielectric oxide, a dielectric nitride, or a dielectric oxynitride of the semiconductor material of the base channel portion 11. Likewise, each planar dielectric portion 616 includes a dielectric material that includes the same semiconductor element as the layer of semiconductor material and additionally includes at least one non-metallic element such as oxygen and/or nitrogen such that the material of planar dielectric portion 616 is a dielectric material. In one embodiment, planar dielectric portion 616 may comprise a dielectric oxide, a dielectric nitride, or a dielectric oxynitride of the semiconductor material of semiconductor material layer 10.

Referring to fig. 54, a backside blocking dielectric layer 44 may optionally be formed. Backside blocking dielectric layer 44 (if present) comprises a dielectric material that serves as a control gate dielectric for a control gate subsequently formed in backside recess 43. The backside blocking dielectric layer is optional with the presence of the blocking dielectric layer 52 within each memory opening. In the case where the blocking dielectric layer 52 is omitted, a backside blocking dielectric layer is present.

A backside blocking dielectric layer 44 may be formed in the backside recesses 43 and on the sidewalls of the backside trenches 79. The backside blocking dielectric layer 44 may be formed directly on the horizontal surface of the insulating layer 32 within the backside recess 43 and the sidewalls of the memory stack structure 55. If the backside blocking dielectric layer 44 is formed, it is optional to form the tubular dielectric spacers 116 and the planar dielectric portion 616 prior to forming the backside blocking dielectric layer 44. In one embodiment, the backside blocking dielectric layer 44 may be formed by a conformal deposition process such as Atomic Layer Deposition (ALD). The backside blocking dielectric layer 44 may consist essentially of aluminum oxide. The thickness of the backside blocking dielectric layer 44 may be in the range of 1nm to 15nm, such as 2nm to 6nm, although lesser and greater thicknesses may also be employed.

The dielectric material of the backside blocking dielectric layer 44 may be a dielectric metal oxide (such as aluminum oxide), a dielectric oxide of at least one transition metal element, a dielectric oxide of at least one lanthanide element, a dielectric oxide of a combination of aluminum, at least one transition metal element, and/or at least one lanthanide element. Alternatively or in addition, the backside blocking dielectric layer may comprise a silicon oxide layer. The backside barrier dielectric layer may be deposited by a conformal deposition method such as chemical vapor deposition or atomic layer deposition. The thickness of the backside blocking dielectric layer may be in the range of 1nm to 10nm, but smaller and larger thicknesses may also be employed. A backside blocking dielectric layer is formed on the sidewalls of the backside trench 79, the horizontal surfaces and sidewalls of the insulating layer 32, the portions of the sidewall surfaces of the memory stack structure 55 that are physically exposed to the backside recess 43, and the top surface of the planar dielectric portion 616. A backside cavity 79' exists within the portion of each backside trench 79 that is not filled with the backside blocking dielectric layer.

By conformal deposition, at least one conductive material may be deposited in backside recesses 43, in peripheral portions of backside trenches 79, and over contact-level dielectric layer 292. Each successive portion of the at least one conductive material deposited in the backside recesses 43 constitutes a conductive layer 46. The conductive material deposited outside the backside recesses 43 collectively constitutes a continuous layer of metallic material (not shown) that is deposited over the contact-level dielectric layer 292 and at peripheral portions of the backside trenches 79.

In an illustrative example, a metal barrier layer (not explicitly shown) may be deposited in the backside recess. The metal barrier layer comprises a conductive metal material that can serve as a diffusion barrier and/or adhesion promoting layer for subsequently deposited metallic filler materials. The metallic barrier layer may comprise a conductive metal nitride material such as TiN, TaN, WN, or a stack thereof, or may comprise a conductive metal carbide material such as TiC, TaC, WC, or a stack thereof. In one embodiment, the metallic barrier layer may be deposited by a conformal deposition process such as Chemical Vapor Deposition (CVD) or Atomic Layer Deposition (ALD). The thickness of the metallic barrier layer may be in the range of 2nm to 8nm, such as 3nm to 6nm, although lesser and greater thicknesses may also be employed. In one embodiment, the metallic barrier layer may consist essentially of a conductive metal nitride such as TiN.

A metallic fill material is deposited in the plurality of backside recesses 43, on the sidewalls of the at least one backside contact trench 79 and over the top surface of the contact-level dielectric layer 292 to form a metallic fill material layer. The metallic fill material can be deposited by a conformal deposition method, which can be, for example, Chemical Vapor Deposition (CVD), Atomic Layer Deposition (ALD), electroless plating, electroplating, or a combination thereof. In one embodiment, the metallic filler material layer may consist essentially of at least one elemental metal. The at least one elemental metal of the metallic fill material layer may be selected from, for example, tungsten, cobalt, ruthenium, titanium, and tantalum. In one embodiment, the metallic filler material layer may consist essentially of a single elemental metal. In one embodiment, the metallic filler material layer may be formed using a fluorine-containing precursor gas such as WF6And (6) carrying out deposition. In one embodiment, the metallic filler material layer may be a tungsten layer including residual levels of fluorine atoms as impurities. The metal fill material layer, which is a metal barrier layer that blocks fluorine atoms from diffusing therethrough, is spaced apart from the insulating layer 32 and the memory stack structure 55 by a metal barrier layer.

A plurality of conductive layers 46 may be formed in the plurality of backside recesses 43. A continuous layer of metallic material may be formed on the sidewalls of each backside contact trench 79 and over the contact level dielectric layer 292. Each conductive layer 46 includes a portion of a metal barrier layer and a portion of a metal fill material layer positioned between a pair of vertically adjacent dielectric material layers, which may be a pair of insulating layers 32, a bottom-most insulating layer and base insulating layer 12, or a top-most insulating layer and insulating cap layer 70. The continuous layer of metallic material includes a continuous portion of a metallic barrier layer and a continuous portion of a layer of metallic fill material located in the backside trench 79 or above the contact level dielectric layer 292.

Each sacrificial material layer 42 may be replaced by a conductive layer 46. A backside cavity is present in the portion of each backside contact trench 79 that is not filled with the backside blocking dielectric layer and the continuous metallic material layer. A tubular dielectric spacer 116 laterally surrounds the pedestal channel portion 11. In forming the conductive layer 46, the bottommost conductive layer 46 laterally surrounds each tubular dielectric spacer 116.

The deposited metallic material of the continuous layer of conductive material is etched back, for example by isotropic wet etching, anisotropic dry etching, or a combination thereof, from the sidewalls of each backside contact trench 79 and from above the dielectric template layer 270L (and from above the contact level dielectric layer 292 (if present at this step)). Each remaining portion of the deposited metal material in the backside recesses 43 constitutes a conductive layer 46. Each conductive layer 46 may be a conductive line structure. Thus, the sacrificial material layer 42 is replaced by the conductive layer 46.

Each drain select gate electrode (252,254) serves as a drain side select gate electrode (SGD) for the vertical NAND string. One or several of the bottommost conductive layers serve as the source side select gate electrode (SGS) for the vertical NAND string. Each conductive layer 46 positioned between drain-side and source-side select gate electrodes may serve as a combination of multiple control gate electrodes positioned at the same level and word lines electrically interconnected (i.e., electrically shorted) with multiple control gate electrodes positioned at the same level. The plurality of control gate electrodes within each conductive layer 46 are control gate electrodes for a vertical memory device that includes a memory stack structure 55. In other words, each conductive layer 46 may be a word line that serves as a common control gate electrode for multiple vertical memory devices.

In one implementation, the removal of the continuous layer of conductive material may be selective to the material of the backside blocking dielectric layer 44. In this case, a horizontal portion of the backside blocking dielectric layer 44 may be present at the bottom of each backside contact trench 79. The base insulating layer 12 may be vertically spaced apart from the backside contact trench 79 by a horizontal portion of the backside blocking dielectric layer 44.

In another embodiment, the removal of the continuous layer of conductive material may not be selective to the material of the backside blocking dielectric layer 44, or the backside blocking dielectric layer 44 may not be employed. In this case, the top surface and/or sidewall surfaces of the base insulating layer 12 may be physically exposed at the bottom of the backside contact trench 79, depending on whether the base insulating layer 12 is not removed or is partially removed during the removal of the continuous layer of conductive material.

Referring to fig. 55, a layer of insulating material may be formed in the at least one backside contact trench 79 and over the dielectric template layer 270L (and also over the contact-level dielectric layer 292, if present at this step) by a conformal deposition process. Exemplary conformal deposition processes include, but are not limited to, chemical vapor deposition and atomic layer deposition. The layer of insulating material comprises an insulating material such as silicon oxide, silicon nitride, a dielectric metal oxide, an organosilicate glass, or a combination thereof. In one embodiment, the layer of insulating material may comprise silicon oxide. The layer of insulating material may be formed, for example, by Low Pressure Chemical Vapor Deposition (LPCVD) or Atomic Layer Deposition (ALD). The thickness of the layer of insulating material may be in the range of 1.5nm to 60nm, but lesser and greater thicknesses may also be employed.

If a backside barrier dielectric layer 44 is present, a layer of insulating material may be formed directly on the surface of the backside barrier dielectric layer 44 and directly on the sidewalls of the conductive layer 46. If backside blocking dielectric layer 44 is not employed, a layer of insulating material can be formed directly on the sidewalls of insulating layer 32 and directly on the sidewalls of conductive layer 46.

An anisotropic etch is performed to remove horizontal portions of the layer of insulating material from the dielectric template layer 270L (and from above the contact level dielectric layer 292, if present at this step) and at the bottom of each backside contact trench 79. Each remaining portion of the layer of insulating material constitutes an insulating spacer 74. A backside cavity exists within the volume surrounded by each insulating spacer 74.

The anisotropic etch process may continue with or without an etch chemistry change to remove the optional backside blocking dielectric layer 44 and the portion of the planar dielectric portion 616 that is located below the opening through the insulating spacer 74. The top surface of the semiconductor material layer 10 may be physically exposed at the bottom of each backside contact trench 79.

Source regions 61 may be formed at surface portions of the layer of semiconductor material 10 below each backside cavity 79' (shown in fig. 29) by implanting electrical dopants into the physically exposed surface portions of the layer of semiconductor material 10. Each source region 61 is formed in a surface portion of the substrate (9,10) that is located below a respective opening through the insulating spacer 74. Each source region 61 may have a lateral extent greater than a lateral extent of an opening through the insulating spacer 74 due to the diffusion of implanted dopant atoms during the implantation process and the lateral diffusion of implanted dopant atoms during the subsequent activation anneal process. Each source region 61 may have a doping of a second conductivity type opposite to the first conductivity type. For example, if the first conductivity type is p-type, the second conductivity type is n-type, and vice versa.

The upper portion of the layer of semiconductor material 10 extending between the source region 61 and the plurality of pedestal channel portions 11 constitutes a horizontal semiconductor channel 59 for a plurality of field effect transistors. The horizontal semiconductor channels 59 are connected to a plurality of vertical semiconductor channels (60,160) through respective pedestal channel portions 11. The horizontal semiconductor channel 59 contacts the source region 61 and the plurality of pedestal channel portions 11. The bottommost conductive layer 46 provided when forming the conductive layers 46 within the alternating stack (32,46) may comprise a select gate electrode of a field effect transistor. Each source region 61 is formed in an upper portion of a semiconductor substrate (9, 10).

A contact via structure 76 may be formed within each cavity of the backside trench 79. Each contact via structure 76 may fill a respective cavity. The contact via structure 76 may be formed by depositing at least one conductive material in the remaining unfilled volume (i.e., back-side cavity) of the back-side contact trench 79. For example, the at least one conductive material may include a conductive pad 76A and a conductive filler material portion 76B. The conductive pad 76A may comprise a conductive metal pad such as TiN, TaN, WN, TiC, TaC, WC, alloys thereof, or stacks thereof. The thickness of the conductive pad 76A may be in the range of 3nm to 30nm, although lesser and greater thicknesses may also be employed. The conductive filler material portion 76B may comprise a metal or metal alloy. For example, the conductive fill material portion 76B may include W, Cu, Al, Co, Ru, Ni, alloys thereof, or stacks thereof.

The at least one conductive material may be planarized using the contact level dielectric layer 292 overlying the alternating stack (32,46) as a stop layer. If a Chemical Mechanical Planarization (CMP) process is employed, the contact level dielectric layer 292 may serve as a CMP stop layer. Each remaining continuous portion of the at least one conductive material in the backside contact trench 79 constitutes a backside contact via structure 76. A backside contact via structure 76 extends through the alternating stack (32,46) and contacts a top surface of the source region 61. If a backside blocking dielectric layer 44 is employed, the backside contact via structure 76 may contact sidewalls of the backside blocking dielectric layer 44.

Referring to fig. 56A and 56B, additional contact via structures (88,86,8P) may be formed through the contact level dielectric layer 292, the dielectric fill material layer 290, and optionally through the retro-stepped dielectric material portion 65. For example, a drain contact via structure 88 may be formed through the contact-level dielectric material layer 292 on each drain region 63. The word line contact via structure 86 may be formed on the conductive layer 46 through the contact level dielectric layer 292, the dielectric fill material layer 290, the dielectric template layer 270L, and through the retro-stepped dielectric material portion 65. The peripheral device contact via structures 8P may be formed directly on respective nodes of the peripheral devices through the contact level dielectric layer 292, the dielectric fill material layer 290, the dielectric template layer 270L, and through the retro-stepped dielectric material portion 65. Additional metal interconnect structures (not shown) may then be formed as desired. For example, a bit line 90 (shown schematically in fig. 31B) extending in the second horizontal direction hd2 may be formed to provide electrical contact to the drain contact via structure 88.

Fig. 57 to 59 show an alternative embodiment of the second embodiment, in which the trimming process of adjusting the height of the cylindrical electrode portion 252 as shown in fig. 41A, 41B, 42 and 43 is replaced with a controlled anisotropic etching process of adjusting the height of the cylindrical electrode portion 252.

Referring to fig. 57, an alternative embodiment of this second exemplary structure can be derived from that of fig. 38 by removing any protruding remaining portions of the memory film 50 from over the top surface of the topmost insulating layer, and sequentially depositing a conformal gate dielectric layer 250L and a conformal gate electrode material layer 252L. Conformal gate dielectric layer 250L may have the same composition and thickness as gate dielectric 250 and conformal gate electrode material layer 252L may have the same composition and thickness as cylindrical electrode portion 252 as described above.

Referring to fig. 58, an anisotropic etch is performed to remove horizontal portions of conformal gate electrode material layer 252L. Each remaining portion of the conformal gate electrode material layer 252L constitutes a cylindrical electrode portion 252 having a cylindrical shape. In one embodiment, the anisotropic etch may continue to reduce the height of the cylindrical electrode portion 252 until the height of the cylindrical electrode portion 252 reaches a target height. The anisotropic etch may or may not be selective to the material of the conformal gate electrode material layer 252L. In one implementation, the anisotropic etch may be selective to the material of the conformal gate electrode material layer 252L.

Referring to fig. 59, a dielectric template layer 270L may be formed in the same manner as in the processing step of fig. 44. Subsequent processing steps of the second embodiment may then be performed to provide the second exemplary structure of fig. 56A and 56B.

Referring collectively to all of the figures relating to the second embodiment, the second exemplary structure and its alternative embodiments may comprise a three-dimensional memory device. The three-dimensional memory device may include: an alternating stack of insulating layers 32 and conductive layers 46 over the substrates (9, 10); an array of memory stack structures 55 extending through the alternating stacks (32,46) and arranged in rows extending in a first horizontal direction hd1 at a first pitch and spaced apart in a second horizontal direction hd2 at a second pitch for each pair of adjacent rows, wherein each memory stack structure 55 comprises a vertical semiconductor channel 60 and a vertical stack of a memory film 50 and a gate dielectric 250 contacting a top surface of the memory film 50; drain select gate electrodes (252,254) laterally surrounding respective rows of gate dielectric 250; and a drain select level isolation strip 270 comprising a dielectric material and located between a pair of adjacent drain select gate electrodes (252, 254).

In one embodiment, each drain select gate electrode (252,254) includes: a strip electrode portion 254 comprising a pair of longitudinal side walls extending generally along the first horizontal direction hd 1; and a plurality of cylindrical electrode portions 252 that laterally surround a respective one of the gate dielectrics 250. In one embodiment, memory film 50 includes a lateral stack of blocking dielectric 52, charge storage elements (e.g., implemented as portions of charge storage layer 54 at the level of conductive layer 46), and tunneling dielectric 56 from the outside to the inside; and the gate dielectric 250 comprises a material different from the material of the charge storage element.

In one embodiment, the memory film 50 and the gate dielectric 250 directly contact the outer sidewalls of the vertical semiconductor channel 60; and the gate dielectric 250 contacts an inner sidewall of a corresponding one of the plurality of cylindrical electrode portions 252. In one embodiment, the top surface of the memory film 50 directly contacts the bottom surface of a corresponding one of the plurality of cylindrical electrode portions 252.

In one implementation, drain select level isolation stripes 270 comprise: a flat sidewall section contacting a flat sidewall of the strip electrode portion 254 of one of the drain select gate electrodes (252, 254); and concave side wall sections contacting outer side walls of the plurality of cylindrical electrode portions 252 of one of the drain select gate electrodes (252, 254). In one embodiment, each gate dielectric 250 laterally surrounded by the plurality of cylindrical electrode portions 252 contacts a drain select level isolation strip 270.

In one embodiment, the drain select level isolation stripes 270 comprise two rows of cylindrical openings therethrough, wherein each cylindrical opening laterally surrounds a respective one of a subset of the gate dielectrics 250 arranged in two rows extending along the first horizontal direction hd 1. In one embodiment, a first subset of cylindrical electrode portions 252 underlie the overhanging portions of drain select level spacer stripes 270 and have sidewalls that vertically coincide with sidewalls of the overhanging portions of drain select level spacer stripes 270; and a second subset of the cylindrical electrode portions 252 underlie the tubular dielectric spacers 270 'and have sidewalls that vertically coincide with the sidewalls of the tubular dielectric spacers 270'. In one embodiment, the drain select level isolation stripes 270 and the tubular dielectric spacers 270' comprise the same dielectric material; and the top surfaces of the drain select level isolation stripes 270 and the tubular dielectric spacers 270' may be in the same horizontal plane.

In one embodiment, a first subset of the plurality of cylindrical electrode portions 252 contacts drain select level isolation stripes 270; and a second subset of the plurality of cylindrical electrode portions 252 has a respective cylindrical outer sidewall that the strip electrode portions 254 contact and completely surround. In one embodiment, the array of drain regions 63 may contact a top portion of a respective one of the vertical semiconductor channels 60. An array of etch mask rings 274 may be provided which laterally surround a respective one of the drain regions 63 and overlie the drain select gate electrode (252,254) and the drain select level isolation stripes 270. In one embodiment, each etch mask ring 274 contacts a top surface of a respective one of the gate dielectrics 250 and an outer sidewall of a respective one of the vertical semiconductor channels 60.

Each of the exemplary structures of the present disclosure may include a three-dimensional memory device. In one embodiment, the three-dimensional memory device comprises a vertical NAND memory device. Conductive layer 46 can include or can be electrically connected to a respective word line of a monolithic three-dimensional NAND memory device. The substrate (9,10) may comprise a silicon substrate. A vertical NAND memory device can include a monolithic three-dimensional array of NAND strings over a silicon substrate. At least one memory cell in a first device level of the monolithic three-dimensional NAND string array (e.g., implemented as part of charge storage layer 54 at a level of conductive layer 46) can be positioned above another memory cell in a second device level of the monolithic three-dimensional NAND string array (e.g., implemented as another part of charge storage layer 54 at a level of another conductive layer 46). The silicon substrate may contain integrated circuitry including driver circuitry for the memory devices positioned thereon. Conductive layer 46 may include a plurality of control gate electrodes having a stripe shape extending substantially parallel to the top surface of the substrate (9,10), e.g., between a pair of backside trenches 79. The plurality of control gate electrodes includes at least a first control gate electrode positioned in a first device level and a second control gate electrode positioned in a second device level. The monolithic three dimensional NAND string array can include: a plurality of semiconductor channels { (59,11,60,160) or (59,11,60) }, wherein at least one end portion { (60,160) or 60} of each of the plurality of semiconductor channels { (59,11,60,160) or (59,11,60) } extends substantially perpendicular to the top surface of the substrate (9, 10); and a plurality of charge storage elements (e.g., implemented as portions of charge trapping material). Each charge storage element may be located adjacent to a respective one of the plurality of semiconductor channels { (59,11,60,160) or (59,11,60) }.

Various implementations of the present disclosure provide drain select level isolation strips (120,270) without altering the periodicity of the array of memory openings 49 or any structures formed thereon. Thus, drain select level isolation stripes (120,270) may be formed without using any additional footprint in the design layout of the three-dimensional memory device, providing a higher density three-dimensional memory device without changing the inter-row spacing used to form prior art drain select level isolation structures.

While the foregoing refers to certain preferred embodiments, it is to be understood that the disclosure is not so limited. Various modifications to the disclosed embodiments will be apparent to those skilled in the art, and such modifications are intended to be within the scope of the present disclosure. Embodiments employing specific structures and/or configurations are shown in the present disclosure, it being understood that the present disclosure may be practiced in any other compatible structures and/or configurations that are functionally equivalent, provided that such substitutions are not explicitly prohibited or otherwise considered to be impossible by one of ordinary skill in the art. All publications, patent applications, and patents cited herein are incorporated by reference in their entirety.

140页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:用于三维存储器件的对齐漏极选择层级隔离结构及其制造方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!