Normal incidence in-situ process monitoring sensor

文档序号:863822 发布日期:2021-03-16 浏览:9次 中文

阅读说明:本技术 正入射原位过程监测传感器 (Normal incidence in-situ process monitoring sensor ) 是由 孟庆玲 霍尔格·图特耶 赵强 褚汉友 田新康 于 2019-07-23 设计创作,主要内容包括:提供了一种用于在等离子体加工室中进行原位蚀刻监测的装置、系统和方法。该装置包括连续波宽带光源、照射系统、收集系统和处理电路,该连续波宽带光源用于产生入射光束,该照射系统被配置为照射衬底上的区域,其中入射光束以正入射被引导到该衬底,该收集系统被配置为收集从该衬底上的照射区域反射的反射光束,并且将该反射光束引导到检测器。该处理电路被配置为处理该反射光束以抑制背景光,基于参考光束和被处理以抑制该背景光的该反射光束来确定该衬底或形成在其上的结构的性能,并且基于所确定的性能来控制蚀刻过程。(An apparatus, system, and method are provided for in-situ etch monitoring in a plasma processing chamber. The apparatus includes a continuous wave broadband light source for generating an incident light beam, an illumination system configured to illuminate a region on a substrate, wherein the incident light beam is directed at normal incidence to the substrate, a collection system configured to collect a reflected light beam reflected from the illuminated region on the substrate and to direct the reflected light beam to a detector, and processing circuitry. The processing circuitry is configured to process the reflected beam to suppress background light, determine a property of the substrate or a structure formed thereon based on a reference beam and the reflected beam processed to suppress the background light, and control an etching process based on the determined property.)

1. An apparatus for in-situ etch monitoring in a plasma processing chamber, the apparatus comprising:

a continuous wave broadband light source;

an illumination system configured to illuminate a region on a substrate, wherein an incident light beam is directed at normal incidence to the substrate;

a collection system configured to collect a reflected beam reflected from an illuminated area on the substrate and direct the reflected beam to a detector; and

processing circuitry configured to process the reflected beam to suppress background light, determine a property of the substrate or a structure formed thereon based on a reference beam and the reflected beam processed to suppress the background light, and control an etching process based on the determined property.

2. The apparatus of claim 1, further comprising a shutter configured to periodically block incident light beams from the continuous wave broadband light source to cause the collection system to collect the background light accordingly.

3. The apparatus of claim 2, further comprising a stepper motor configured to move the shutter between two positions, wherein in a first position, the shutter is configured to block the incident beam from the plasma processing chamber, and in a second position, the shutter is configured to allow the incident beam to enter the plasma processing chamber.

4. The apparatus of claim 2, wherein the shutter is a chopper wheel.

5. The apparatus of claim 1, wherein the reference beam is generated by the illumination system by splitting a portion of the incident beam through a beam splitter or mirror and then directed to the detector.

6. The apparatus of claim 1, wherein the continuous wave broadband light source is a laser driven broadband light source having a wavelength range of 190nm to 2000 nm.

7. The apparatus of claim 1, wherein the illumination system comprises a first Rochon polarizer, and the incident light beam passes through the first polarizer and is then directed to the substrate.

8. The apparatus of claim 1, wherein the collection system comprises a second Rochon polarizer, and the reflected beam passes through the second polarizer and is then directed to the detector.

9. The apparatus of claim 1, wherein the illumination system comprises a first off-axis parabolic mirror and a beam splitter to direct the incident light beam to the substrate.

10. The apparatus of claim 1, wherein the collection system comprises a second off-axis parabolic mirror and a fold mirror to direct the reflected beam to the detector.

11. The apparatus of claim 1, wherein the detector is a two-channel broadband high SNR (signal to noise ratio) spectrometer comprising a measurement channel for receiving the reflected beam and a reference channel for receiving the reference beam.

12. A plasma processing system, the system comprising:

a plasma processing chamber; and

a normal incidence reflectometer, comprising:

a continuous wave broadband light source;

a detector;

an illumination system configured to illuminate a region on a substrate disposed in the plasma processing chamber, wherein an incident beam is directed at the substrate at normal incidence;

a collection system configured to collect a reflected beam reflected from an illuminated area on the substrate and direct the reflected beam to the detector; and

processing circuitry configured to process the reflected beam to suppress background light, determine a property of the substrate or a structure formed thereon based on a reference beam and the reflected beam processed to suppress the background light, and control an etching process based on the determined property.

13. The system of claim 12, wherein the illumination system and the collection system are mounted inside a tube.

14. The system of claim 13, wherein the tube is made of stainless steel or aluminum alloy and is inserted into the plasma processing chamber through a top wall of the plasma processing chamber.

15. The system of claim 13, wherein the tube comprises an upper window configured to act as a vacuum seal and a lower window configured to prevent contamination.

16. The system of claim 13, wherein the tube comprises a gas supply conduit configured to inject a process gas or a purge gas into the plasma processing chamber.

17. The system of claim 12, wherein the normal incidence reflectometer further comprises a shutter configured to periodically block the incident beam from the broadband light source to cause the collection system to collect the background light accordingly.

18. The system of claim 12, wherein the illumination system comprises a first Rochon polarizer, and the incident light beam passes through the polarizer and is then directed to the substrate.

19. The system of claim 12, wherein the collection system comprises a second Rochon polarizer, and the reflected beam passes through the polarizer and is then directed to the detector.

20. A method for in-situ etch monitoring, the method comprising:

directing an incident light beam at normal incidence to a substrate disposed in a plasma processing chamber, the incident light producing an illuminated area on a surface of the substrate;

splitting a portion of the incident beam to a detector to collect a reference beam;

collecting background light from the plasma and collecting a reflected beam from the illuminated region;

processing the reflected light beam to suppress the background light;

determining a property of the substrate or a structure formed thereon based on the reference beam and the reflected beam by using an algorithm or a library of references; and

controlling the etching process based on the determined performance.

Background

The present disclosure relates to in-situ etch process monitoring, and more particularly to methods, systems, and apparatus for real-time in-situ film performance monitoring of a plasma etch process.

In the manufacture of semiconductor devices, Liquid Crystal Displays (LCDs), Light Emitting Diodes (LEDs) and some photovoltaic devices (PVs), plasma etching processes are commonly used in conjunction with photolithography.

In many types of devices, such as semiconductor devices, a plasma etch process is performed in a top material layer overlying a second material layer, and it is important to accurately stop the etch process once it has formed an opening or pattern in the top material layer without continuing to etch the underlying second material layer. The duration of the etching process must be accurately controlled in order to achieve a precise etch stop at the top of the underlying material, or to achieve a precise vertical dimension of the etched feature.

To control the etching process, various methods are used, some of which rely on analyzing the chemistry of the gases in the plasma processing chamber in order to infer whether the etching process has progressed to, for example, an underlying material layer having a different chemical composition than the material of the layer being etched.

Alternatively, an in-situ metrology device (optical sensor) may be used to directly measure the etched layer during the etch process and provide feedback control for accurately stopping the etch process once a certain vertical feature has been obtained. For example, in a typical spacer application, the goal of an in-situ optical sensor for film thickness monitoring is to stop the anisotropic oxide etch at a few nm before touchdown (soft landing) and then switch to an isotropic etch to achieve the desired spacer profile. Further, in-situ metrology devices may be used to actually measure film and etch features in real time during an etch process to determine information of the size of structures that may be used to control the etch process and/or control subsequent processes (e.g., processes that compensate for certain off-specification dimensions).

The foregoing "background" description is intended to present the context of the disclosure generally. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.

Disclosure of Invention

One aspect of the present disclosure includes an apparatus for in-situ etch monitoring in a plasma processing chamber. The apparatus includes a continuous wave broadband light source, an illumination system configured to illuminate a region on a substrate, wherein an incident light beam is directed at the substrate at normal incidence, a collection system configured to collect a reflected light beam reflected from the illuminated region on the substrate and direct the reflected light beam to a detector, and processing circuitry. The processing circuitry is configured to process the reflected beam to suppress (e.g., filter or subtract) background light, determine a property (e.g., thickness) of the substrate or a structure formed thereon based on the reference beam and the reflected beam, and control an etching process based on the determined property.

Another aspect of the present disclosure includes a plasma processing system. The system includes a plasma processing chamber and a normal incidence reflectometer having an AOI (angle of incidence) of zero degrees. The normal incidence reflectometer includes a continuous wave broadband light source, a detector, an illumination system configured to illuminate a region on a substrate disposed in the plasma processing chamber, wherein an incident beam is directed at the substrate at normal incidence, a collection system configured to collect a reflected beam reflected from the illuminated region on the substrate and direct the reflected beam to the detector, and processing circuitry. The processing circuitry is configured to process the reflected beam to suppress background light, determine a property of the substrate or a structure formed thereon based on a reference beam and the reflected beam processed to suppress the background light, and control an etching process based on the determined property.

Yet another aspect of the present disclosure includes a method for in-situ etch monitoring. In the disclosed method, an incident light beam is directed at normal incidence to a substrate disposed in a plasma processing chamber, and the incident light produces an illuminated area on a surface of the substrate. Additionally, a portion of the incident beam is split to a detector to collect the reference beam. Background light generated from the plasma and reflected light beams are also collected from the illuminated area. Further, the reflected beam is processed to suppress the background light. Properties of the substrate or a structure formed thereon are determined based on the reference beam and the reflected beam by using an algorithm or a library of references, and an etching process is controlled based on the determined properties.

The foregoing paragraphs have been provided by general introduction, and are not intended to limit the scope of the following claims. The described embodiments, together with further advantages, will be best understood by reference to the following detailed description taken in conjunction with the accompanying drawings.

Drawings

A more complete appreciation of the present disclosure and many of the attendant advantages thereof will be readily obtained as the same becomes better understood by reference to the following detailed description when considered in connection with the accompanying drawings, wherein:

fig. 1 is a schematic diagram of a system for etch process monitoring according to some embodiments.

Fig. 2 is a schematic diagram of an exemplary optical module according to some embodiments.

FIG. 3A is a schematic diagram of a first exemplary configuration for obtaining a reference beam, according to some embodiments.

FIG. 3B is a schematic diagram of a second exemplary configuration for obtaining a reference beam, according to some embodiments.

FIG. 3C is a schematic diagram of a third exemplary configuration for obtaining a reference beam, according to some embodiments.

FIG. 3D is a schematic diagram of a fourth exemplary configuration for obtaining a reference beam, according to some embodiments.

Figure 4A is a block diagram of an optical modulation/shutter module according to some embodiments.

Fig. 4B is a schematic diagram illustrating a timing diagram of a shutter according to some embodiments.

Fig. 5 is a flow diagram illustrating a method for in-situ monitoring of an etch process according to some embodiments.

FIG. 6 is a diagram illustrating exemplary results.

Fig. 7 is an exemplary block diagram of a controller according to some embodiments.

Detailed Description

Referring now to the drawings, wherein like reference numerals designate identical or corresponding parts throughout the several views, the following description relates to a system and associated method for real-time in-situ film performance monitoring of plasma processes for patterned or unpatterned wafers in semiconductor manufacturing.

Reference throughout this specification to "one embodiment" or "an embodiment" means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment, but does not mean that they are present in every embodiment. Thus, the appearances of the phrase "in one embodiment" appearing in various places throughout the specification are not necessarily all referring to the same embodiment. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments.

Fig. 1 is a schematic side view of a plasma processing system 100 equipped with an optical sensor 101 according to one example. The plasma processing system 100 further includes a plasma processing chamber 124.

The optical sensor 101 may be a normal incidence reflectometer with zero degree angle of incidence (AOI) that includes an optical module 102 (illumination and collection), a light source 104, a shutter 106, a spectrometer 112, and a controller 114. Optical sensor 101 generates an incident light beam 120 from light source 104 and receives a reflected light beam 122 for analysis. The incident beam 120 and the reflected beam 122 propagate in the plasma processing chamber 124 along a normal to the substrate 116. The optical module 102 further includes an illumination system 108 and a collection system 110. The optical sensor 101 is configured to measure a reflected beam 122 from an illuminated region 118 on the substrate 116 during a plasma etch process in a plasma processing chamber 124. The irradiation region 118 may be adjusted according to the size of the substrate 116. In one embodiment, the optical module 102 can be located outside of the plasma processing chamber 124. In another embodiment, the optical module 102 can be mounted in a plasma processing chamber. As shown in fig. 2, the optical module 102 may be installed inside a tube, and the tube is made of stainless steel or aluminum alloy, and inserted into the plasma processing chamber 124 through the top wall of the plasma processing chamber 124.

In the optical sensor 101, the light source 104 is used to form an incident light beam 120 for substrate illumination. In an embodiment, the light source 104 is a broadband light source, such as a Continuous Wave (CW) broadband light source, e.g., a laser-driven plasma light source (LDLS) with long-life bulbs (b>9000 hours) (e.g., EQ-99X LDLS available from ENERGETIQ Co.)TM) Very bright across a broad spectrum UV (ultraviolet) -Vis (visible) -NIR (near infrared), i.e. 190nm to 2000nmHigh light. In one embodiment, light source 104, after being modulated by optional shutter 106, may be fiber coupled to illumination system 108. In another embodiment, the light source 104 may be directly fiber coupled to the illumination system 108 without passing through the shutter 106.

The light source 104 may or may not be mounted near the plasma processing chamber 124 or any housing that houses the optical sensor 101, and in the case of remote mounting, the incident light beam 120 may be sent through an optical fiber or through a set of optical components (such as mirrors, prisms, and lenses) described later herein into other components near the plasma processing chamber 124. The optical sensor 101 may also include relay optics and polarizers for the incident and reflected beams. In one example, the relay optics use parabolic mirrors to direct the beam and minimize optical aberrations.

The incident light beam 120 reflects from the illumination region 118 on the substrate 116 to form a reflected light beam 122. The optical sensor 101 also includes a detector such as a spectrometer 112. The spectrometer 112 may be a two-channel broadband high SNR spectrometer including a measurement channel (i.e., a measurement spectrometer) for measuring the spectral intensity of the reflected beam 122 and a reference channel (i.e., a reference spectrometer) for measuring the spectral intensity of the reference beam 126. The measurement channel of spectrometer 112 may be fiber-coupled to collection system 110.

Before the incident light beam 120 is directed to be normally incident on the substrate 116, a portion of the incident light beam 120 is split to serve as the reference beam 126, and the reference beam 126 is then directed to the reference channel of the spectrometer 112 (i.e., the reference spectrometer). The purpose of collecting the reference beam 126 is to monitor the spectral intensity of the incident beam 120, so any change in the intensity of the incident beam 120 can be taken into account during the measurement process. Such intensity variations may occur due to a drifting output power of the light source 104, which may be wavelength dependent, for example. In another embodiment, the intensity of the reference beam 126 may be measured by one or more photodiodes or the like. For example, a photodiode can detect the reference beam and provide a reference signal proportional to the intensity of the incident beam 120 integrated over the entire illumination spectrum (e.g., UV-VIS-NIR).

In one embodiment, a set of photodiodes may be used to measure the intensity of the reference beam 126. For example, the set of photodiodes may include three photodiodes that each span a UV-VIS-NIR wavelength. A filter may be mounted in front of each photodiode in the set of photodiodes. For example, a band pass filter may be used to monitor a portion of the spectrum (e.g., UV, VIS, NIR) of the intensity variations of the light source 104. In one embodiment, a prism or grating may be used to disperse the reference beam into the set of photodiodes. Thus, the spectrally dependent intensity variation of the light source 104 can be tracked and corrected without the use of a reference spectrometer. Exemplary configurations for obtaining the reference beam are shown in fig. 3A and 3B discussed below.

When the incident light beam 120 is blocked by the shutter 106, the incident light beam 120 can be modulated by the chopper wheel or shutter 106 to account for background light (i.e., light that is not indicative of reflected light of the incident light beam 120, such as plasma light emission or device light in a plasma processing chamber) measured by the measurement channel of the spectrometer 112.

In another embodiment, the chopper wheel or shutter 106 may be omitted from the optical sensor 101. The incident light beam 120 may be directly fiber-coupled to the illumination system 108 by the light source 104. In such embodiments, background light due to plasma light emission or device light may be filtered out of the reflected beam by signal processing algorithms.

The measured spectral intensity of the background light collected from the plasma processing chamber 124, the measured spectral intensity of the reflected beam 122, and the measured spectral intensity of the reference beam 126, as measured when the shutter blocks incident light, are provided to the controller 114. The controller 114 processes the measured spectral intensity of the reflected beam 122 to suppress background light. For example, the controller 114 may subtract the spectral intensity of the background light from the spectral intensity of the reflected beam 122. Additionally, the measured spectral intensity of the reference beam 126 may be analyzed by the controller 114 to monitor any intensity variations of the incident beam 120, and the intensity variations of the incident beam 120 may be accounted for in the measurement process. The controller 114 uses a particular algorithm, such as a machine learning algorithm, to determine a property or properties (e.g., feature size, optical properties) of the layer of interest based on the reference and reflected beams processed to suppress background light in order to control the plasma etch process as described further below.

In another embodiment, the shutter 106 is not incorporated in the optical sensor 101, and the light source 104 may be directly fiber-coupled to the illumination system 108 without passing through the shutter 106. The controller 114 may use an algorithm to calculate the spectral intensity of the background light from the measured spectral intensity of the reflected beam 122. The controller 114 may further process the measured spectral intensity of the reflected beam 122 to suppress (e.g., filter or subtract) the background light based on the calculated spectral intensity of the background light, or alternatively, if the interference from the background light is sufficiently low, no correction for background illumination may be needed.

The optical sensor 101 and associated methods may also use periodic measurements (calibrations) of a reference wafer, such as a bare silicon wafer, to compensate for drift of the optical sensor or etched chamber components as described later herein.

Still referring to fig. 1, a controller 114 is connected to the light sources 104, shutters 106, and spectrometer 112. The controller 114 may acquire data from the light sources 104, the shutters 106, and the spectrometer 112, and process the acquired data. The controller 114 may send instructions to the light sources 104, shutters 106, and spectrometer 112 based on the processed data.

Fig. 2 is a schematic diagram of an optical module 102 according to one example. As shown in fig. 2, the optical module 102 may be integrated inside and on top of the tube 222. The tube 222 may be made of stainless steel, aluminum alloy, dielectric material, or the like. The tube 222 may be inserted into the plasma processing chamber 124 through an upper wall 240 of the plasma processing chamber. A bottom portion of the tube 222 may protrude through the upper wall 240. The tube 222 may be positioned at the center of the top wall of the plasma processing chamber. The tube 222 may also be positioned off-center depending on the measurement requirements. The tube 222 may be mounted against the upper wall 240 using a vacuum seal 226 and a vacuum seal flange 228. Tube 222 may optionally include a gas supply conduit 224 that connects with the side of tube 222 and may be used to inject a process or purge gas 236 into plasma processing chamber 124 through gas injection holes 234. The tube 222 may include a lower window 202 configured to prevent contamination from the plasma processing chamber into the interior of the tube. In an embodiment, the lower window 202 may be perforated and may allow gas 236 to escape from the lower window 202. The tube 222 may also include an upper window 204. The upper window 204 is configured to act as a vacuum seal, with the portion of the tube 222 above the upper window 204 being at atmospheric pressure and another portion of the tube below the upper window 204 being under vacuum. The lower window 202 may be quartz, fused silica, or sapphire. The upper window may also be quartz, fused silica, or sapphire, depending on requirements, which may include the resistance of the window material to aggressive chemicals in the plasma processing chamber 124, and the need to transmit the desired wavelengths (e.g., included in the deep UV portion of the spectrum).

The optical module 102 includes an illumination system 108 and a collection system 110. As shown in fig. 2, the illumination system 108 may include a first off-axis parabolic mirror 212, a first polarizer 208, and a beam splitter 206. In some embodiments, the first off-axis parabolic mirror 212 is a 90 ° off-axis parabolic mirror. The collection system 110 may include a second off-axis parabolic mirror 220, a second Rochon (Rochon) polarizer 216, and a fold mirror 214. In some embodiments, the second off-axis parabolic mirror 220 is another 90 ° off-axis parabolic mirror. In exemplary operation, an incident light beam 120 is generated by the light source 104 and directed through an optical fiber 210 to a first off-axis parabolic mirror 212. The first off-axis parabolic reflector 212 may be a reflector coated with a high reflectivity coating such as aluminum, gold, etc. The first off-axis parabolic mirror 212 is configured to direct the incident light beam 120 and minimize optical aberrations. The incident light beam 120 is directed by a first off-axis parabolic mirror 212 to a first polarizer 208.

An optional first polarizer 208, if present, imparts a linear polarization to incident light beam 120 that reaches substrate 116. The first polarizer 208 may be a Rochon polarizer with a high extinction ratio, large e-and o-ray separation, such as a MgF2 Rochon polarizer, an α -BBO Rochon polarizer, or the like. The polarization of the incident beam 120 increases the signal-to-noise ratio of the reflectometer signal and thereby increases measurement accuracy and sensitivity of feature size measurement compared to a non-polarized incident beam.

After passing through the first polarizer 208, the incident light beam 120 reaches the beam splitter 206. The beam splitter 206 may direct the incident beam of light 120 at normal incidence toward the substrate 116 and produce the illumination region 118. The beam splitter 206 may further split a portion of the incident beam 120 to form the reference beam 126, and the reference beam 126 is then directed by other optical components to the reference channel of the spectrometer 112, as illustrated in fig. 3A-3B. The beam splitter may be a cube made of two triangular glass prisms, half silvered mirrors, dichroic mirror prisms, or the like.

The size of the irradiated region 118 on the substrate 116 may vary from 50 microns to 60mm (millimeters) or more. The shape of the illumination region 118 may be circular, but may also be changed to a non-circular shape by using an aperture mask (not shown) inserted into either the incident beam 120 or the reflected beam 122. The size of the illuminated area 118 may depend on the size and characteristics of the structures being measured on the substrate 116 and may be adjustable to ensure a good signal. The illumination region 118 may cover a plurality of structures on the substrate 116. Thus, the detected optical property (e.g., refractive index) may represent an average of the features associated with many structures on the substrate 116.

In an embodiment, the incident light beam 120 may pass through an aperture (not shown) located before the first off-axis parabolic mirror 212. The aperture can be modified to produce illumination spots having different shapes (e.g., rectangular, square). The size and shape of the illuminated area on the substrate can be efficiently optimized using minor modifications to the aperture, for example, based on the size and characteristics of the structure being measured.

Thus, the incident light beam 120 reflects from the surface of the substrate 116 to produce a reflected light beam 122. The reflected beam 122 passes through a lower window 202, an upper window 204, and a beam splitter 206. It should be noted that the beam splitter 206 is designed to allow the reflected beam 122 to propagate with minimal signal loss. The reflected beam 122 is then directed by a folding mirror 214 to an optional second Rochon polarizer 216. The second Rochon polarizer 216 (if present) is configured to only allow measurement of p-polarized light reflected from the substrate 116. After passing through the second Rochon polarizer 216, the reflected beam 122 passes through a second off-axis parabolic mirror 220. After passing through the second off-axis parabolic mirror 220, the reflected beam 122 may be collected via an optical fiber 218 and directed to a measurement channel of the spectrometer 112. The optical fiber 218 is coupled to the measurement channel of the spectrometer 112. The second off-axis parabolic reflector 220 may be similar to the first off-axis parabolic reflector 212. In various embodiments of the optical sensor 101, no polarizer may be used, or one or both of the optional polarizers 208 and 216 may be used, depending on signal-to-noise ratio requirements and other measurement requirements.

In further embodiments, the optical sensor 101 illustrated in fig. 2 may include other optical components, such as mirrors, prisms, lenses, spatial light modulators, digital micro-mirror devices, etc., to manipulate the incident beam 120 and the reflected beam 122. The configuration and component layout of the optical sensor 101 of fig. 2 need not be exactly as shown in fig. 2. With additional optical components, the beam can be folded and manipulated to facilitate packaging of the in situ optical sensor into a compact package suitable for mounting on the walls of the plasma processing chamber 124.

FIG. 3A is a first exemplary configuration for obtaining a reference beam according to one example. From the shutter 106, a portion of the light output may be used as the reference beam 126 and may be directed by the mirror 302 into the reference channel of the spectrometer 112. The reference beam may be focused into the fiber using lens 304.

FIG. 3B is a second exemplary configuration for obtaining a reference beam according to one example. A beam splitter 206 in the path of the incident beam 120 may be used to direct a portion of the incident beam into the reference channel of the spectrometer 112. Prism 306 may be used to focus reference beam 126 into the fiber. In one embodiment, the intensity of the reference beam may be measured using one or more photodetectors (e.g., UV, Vis, NIR) connected to the controller 114 as previously discussed herein.

Fig. 3C and 3D provide third and fourth configurations, respectively, to directly measure the light intensity of the reference beam at the output of the light source. In fig. 3C, a portion of the light output produced by the light source 104 may be transmitted through the optional and may be omitted shutter 106, lens 310, lens 312, and received by the optical fiber. The optical fiber further directs the received light beam to an illumination system. Additionally, a portion of the light output of the light source 104 may be transmitted through the lens 314 and received by another optical fiber. Other optical fibers may be further coupled to the reference channel of spectrometer 112. In fig. 3D, a portion of the light output of the light source 104 may be transmitted through the lens 314 and received by one or more photodiodes 318. The photodiode 318 further measures the intensity of the received reference beam 126.

Fig. 4A is a block diagram of an optical modulation/shutter module according to one example. In one embodiment, shutter 106 can be moved back and forth between two positions to block or allow the incident beam 120 into plasma processing chamber 124. Shutter 106 may include a stepper motor. The shutter 106 with the stepper motor provides high switching speed and high repeatability and reliability. The shutter 106 may be controlled via a shutter controller 400 that is synchronized with the spectrometer 112. Data acquisition module 402 is connected to the reference channel of spectrometer 112 and the measurement channel of spectrometer 112. In one embodiment, shutter 106 may be a continuous rotary optical chopper.

Fig. 4B is a schematic diagram showing a timing chart of the shutter 106 according to an example. The readout of a Charge Coupled Device (CCD) has a clearing period. When the shutter is open, the incident light beam 120 reaches the substrate 116, and thus the light measured by the measurement channel of the spectrometer 112 is indicative of the reflected light beam 122 and background light (e.g., plasma emission light). M cycles (i.e., CCD integration/data read) can be measured and averaged to improve the signal-to-noise ratio (SNR). When the shutter is closed, the incident light beam 120 does not reach the substrate 116, and thus the light measured by the measurement channel of the spectrometer 112 is indicative of background light (e.g., plasma emission light). N cycles (i.e., CCD integration/data read) can be measured and averaged to improve SNR. Accordingly, the controller 114 may process the collected intensities (e.g., subtract the plasma intensity) to determine a feature size (e.g., thickness) from the reflected light intensity.

Various methods may be used to determine the physical characteristics from the collected spectra. For example, the physical characteristics may be determined by referencing a library to match a detected spectrum to a pre-calculated and pre-stored spectrum. In one embodiment, a direct physical regression model may be used to obtain the film thickness of the unpatterned wafer. Regression models can also be used to measure Critical Dimension (CD) and other pattern parameters for simple patterns such as 2D lines.

In some implementations, machine learning techniques (e.g., neural networks, information-fuzzy networks) can be used. Supervised training methods train machine learning algorithms to establish a relationship between the properties (e.g., CD, thickness, etc.) of a sample and the collected spectra. Spectra from the sample are collected during a training phase of the machine learning method. The performance associated with each sample can be obtained from the CD metrology tool. The machine learning algorithm is then trained by using the collected spectral data and the performance of each sample.

In the real-time application phase, a trained machine learning algorithm is used to predict target endpoints based on the target performance of each wafer. The spectra collected during the etch process are compared to predicted target endpoint spectra to indicate that the target performance for each wafer is achieved.

Fig. 5 is a flow chart illustrating a method 500 for in-situ monitoring of an etch process according to one example. At step 502, an etch process recipe is started. After plasma etching for a certain time (e.g., time A ≧ 0 seconds) at step 504, method 500 proceeds to step 506. At step 506, the spectral intensity of the reflected beam from the substrate 116 and the spectral intensity of the background light are measured. The controller 114 processes the measured spectral intensity of the reflected beam 122 to optionally suppress (e.g., subtract or filter) background light to obtain a background corrected spectrum during the plasma etch. For example, the controller 114 may subtract the spectral intensity of the background light from the spectral intensity of the reflected beam 122.

At step 508, a prediction algorithm, such as a machine learning algorithm or a polynomial algorithm, analyzes the acquired spectrum based on the trained model 514 and correlates a particular property (e.g., thickness) of the substrate or structure formed thereon to the spectrum.

Then, at step 510, in response to determining that the performance of the substrate or the structure formed thereon has been achieved, the process proceeds to step 512. In response to determining that the performance of the substrate or structure formed thereon has not been achieved, the process returns to step 506. At step 512, the controller 114 may modify the etch process, for example, switch or stop the recipe, or change the recipe to a different recipe when the measurements indicate that the process is near completion.

These algorithms can also use periodic measurements (calibrations) on one or more reference substrates, such as bare silicon wafers and/or thin film wafers, to compensate for drift of the optical sensor or etched chamber components. During system calibration, the beam may reflect from a bare (i.e., unpatterned) silicon wafer or other wafer having known properties. The reflected beam is used to calibrate for any variations in optical sensor 101, such as blurring of the windows (e.g., windows 202 and 204) due to the products of the plasma process. Recalibration may be applied when a predetermined number of wafers have been processed in plasma processing system 100.

FIG. 6 is an exemplary diagram illustrating exemplary results. The detection of thickness by the optical sensor 101 disclosed herein is compared to other detection methods and models. For example, a reference wafer map with M sites may be used. The inventors selected N sites from M sites representing the range of layer thicknesses in the wafer map. The N sites selected are indicated by circles in the schematic 600. The linear nature of the graph shown in the schematic diagram 600 indicates good agreement between measurements made with the optical sensor 101 described herein (vertical axis) and measurements made with another tool (e.g., metrology tool).

Next, a hardware description of the controller 114 according to an exemplary embodiment is described with reference to fig. 7. In fig. 7, controller 114 includes a CPU 700 that performs the processes described herein. Process data and instructions may be stored in memory 702. The processes and instructions may also be stored on a storage media disk 704, such as a Hard Disk Drive (HDD) or portable storage media, or may be stored remotely. Further, the claimed advancements are not limited by the form of computer readable media storing instructions of the inventive process. For example, the instructions may be stored on a CD, DVD, flash memory, RAM, ROM, PROM, EPROM, EEPROM, hard disk, or any other information processing device (e.g., server or computer) in communication with the controller 114.

Further, the claimed advancements may be made as an alternative to CPU 700 and the like Solaris、Apple macOSTMAnd other systems known to those skilled in the art, in conjunction with a utility application executing, a background daemon, or a component of an operating system, or a combination thereof.

To implement the controller 114, the hardware elements may be implemented by various circuitry elements known to those skilled in the art. For example, the CPU 700 may be a Xenon or core processor from Intel corporation, USA, or an Opteron (Opteron) processor from AMD, USA, or may be other processor types as recognized by one of ordinary skill in the art. Alternatively, CPU 700 may be implemented on an FPGA, ASIC, PLD, or using discrete logic circuitry, as will be appreciated by those skilled in the art. Further, CPU 700 may be implemented as multiple processors working in parallel to cooperate to execute the instructions of the inventive process described above.

The controller 114 of fig. 7 also includes a network controller 706, such as an intel ethernet PRO network interface card from intel corporation of america, for interfacing with the network 728. As can be appreciated, the network 728 may beA public network such as the internet, or a private network such as a LAN or WAN network, or any combination thereof, and may also include PSTN or ISDN sub-networks. The network 728 may also be wired, such as an ethernet network, or may be wireless, such as a cellular network including EDGE, 3G, 4G, and 5G wireless cellular systems. The wireless network can also beOr any other form of wireless communication known.

The controller 114 further includes a display controller 708, such as, for example, from the American great Dan (NVIDIA) corporationGTX orGraphics adapter for use with, for example, Hewlett packardThe display 710 of the HPL2445w LCD monitor interfaces. The general purpose I/O interface 712 interfaces with a keyboard and/or mouse 714 and an optional touch screen panel 716 on or separate from the display 710. The general purpose I/O interface is also connected to various peripherals 718 including a printer and a scanner, such as Hewlett PackardOr

Such as Sound of Creative technology (Creative) corporationX-FiIs also provided in the controller 114 to be in communication with the speaker @The microphone 722 interfaces to thereby provide sound and/or music.

The general storage controller 724 interfaces the storage media disk 704 with a communication bus 726, which may be an ISA, EISA, VESA, PCI, or the like, interconnecting all of the components of the controller 114. Since the general features of the display 710, keyboard and/or mouse 714, as well as the display controller 708, general storage controller 724, network controller 706, sound controller 720, and general purpose I/O interface 712 are known, a description of these features and functions is omitted herein for the sake of brevity.

A system including the features of the foregoing provides a number of advantages to the user. The disclosed normal incidence in situ process monitoring sensor provides a higher sensitivity (signal-to-noise ratio) than the related art because the normal incidence reflectometer with zero degree angle of incidence (AOI) has a better measurement sensitivity. Additionally, the disclosed sensor is less costly because only one optical module is required. The disclosed sensor has a compact design and requires minimal chamber modification and minimal on-chamber alignment. Further, due to the high sensitivity, the disclosed sensor may not require a shutter for plasma background correction. For example, collecting p-polarized light reflected from substrate 116 results in higher signal purity. The disclosed sensor can be used for different wafer structures.

Obviously, many modifications and variations are possible in light of the above teaching. It is, therefore, to be understood that within the scope of the appended claims, the invention may be practiced otherwise than as specifically described herein. Accordingly, the foregoing discussion discloses and describes merely exemplary embodiments of the present invention. As will be understood by those skilled in the art, the present invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. Accordingly, the disclosure of the present invention is intended to be illustrative, but not limiting, of the scope of the invention, as well as other claims. This disclosure includes any readily discernible variant of the teachings herein that, in part, defines the scope of the foregoing claim terminology such that no inventive subject matter is dedicated to the public.

15页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:用于衬底处理系统的具有介电窗的蜂窝式喷射器

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类