Resist-free patterned mask

文档序号:1086258 发布日期:2020-10-20 浏览:33次 中文

阅读说明:本技术 无抗蚀剂图案化掩模 (Resist-free patterned mask ) 是由 M·克里斯塔 S·阿米尼 于 2020-04-02 设计创作,主要内容包括:本发明涉及无抗蚀剂图案化掩模以及一种在待图案化层(100)上形成图案化掩模的方法,所述方法包括以下步骤:(a)在待图案化层(100)上提供碳基层(200);(b)用含卤素基团(400)使碳基层(200)的顶表面(300)官能化;(c)通过以图案化方式使顶表面(300)暴露于能源来部分去除含卤素基团(400),从而形成包括第一区域(510)和第二区域(520)的图案,所述第一区域具有使表面官能化的含卤素基团(400),所述第二区域(520)没有使表面官能化的含卤素基团(400);以及(d)相对于第一区域(510),在第二区域(520)上选择性形成金属、金属氧化物或金属氮化物(600)。(The invention relates to a resist-free patterned mask and a method of forming a patterned mask on a layer (100) to be patterned, the method comprising the steps of: (a) providing a carbon-based layer (200) on the layer (100) to be patterned; (b) functionalizing the top surface (300) of the carbon-based layer (200) with a halogen-containing group (400); (c) partially removing the halogen-containing groups (400) by exposing the top surface (300) to an energy source in a patterned manner, thereby forming a pattern comprising first regions (510) having surface-functionalized halogen-containing groups (400) and second regions (520) free of surface-functionalized halogen-containing groups (400); and (d) forming a metal, metal oxide or metal nitride (600) selectively on the second region (520) relative to the first region (510).)

1. A method of forming a patterned mask on a layer (100) to be patterned, the method comprising:

a. providing a carbon-based layer (200) over a layer (100) to be patterned;

b. functionalizing the top surface (300) of the carbon-based layer (200) with a halogen-containing group (400);

c. partially removing the halogen-containing groups (400) by exposing the top surface (300) to an energy source in a patterned manner, thereby forming a pattern comprising first regions (510) having surface-functionalized halogen-containing groups (400) and second regions (520) free of surface-functionalized halogen-containing groups (400); and

d. a metal, metal oxide or metal nitride (600) is selectively formed on the second region (520) relative to the first region (510).

2. The method of claim 1, wherein the carbon based layer (200) comprises an amorphous carbon layer (200).

3. A method according to any preceding claim, wherein step b comprises plasma treatment.

4. A method according to any one of the preceding claims, wherein the halogen-containing group (400) is one or more atoms selected from F, Cl, Br and I.

5. The method of any one of the preceding claims, wherein the energy source is selected from the group consisting of: extreme ultraviolet irradiation, X-ray irradiation, electron beam irradiation, and ion beam irradiation.

6. The method of any one of the preceding claims, wherein step d comprises: atomic layer deposition, molecular layer deposition, or chemical vapor deposition.

7. The method of any one of the preceding claims, wherein the metal is ruthenium, the metal oxide is titanium oxide, and the metal nitride is titanium nitride.

8. The method of any one of the preceding claims, wherein step a comprises:

a1. providing a first carbon-based layer (210) over a layer (100) to be patterned;

a2. providing a sensitizing layer (800) over a first carbon-based layer (210); and

a3. a second carbon-based layer (220) is provided over the sensitizing layer (800).

9. A method of patterning a layer (100), the method comprising being performed according to the method of any one of the preceding claims, and further comprising, after step d, step e:

e. the pattern is transferred into the layer to be patterned (100) by selectively etching through the carbon-based layer (200) and into the layer to be patterned (100) relative to the metal, metal oxide or metal nitride (600).

10. An intermediate structure for use in the method of any preceding claim, the intermediate structure comprising:

i. a layer (100) to be patterned; and

a carbon based layer (200) over the layer (100) to be patterned, the top surface (300) of the carbon based layer (200) being functionalized with halogen containing groups (400).

11. The intermediate structure of claim 10, wherein the top surface (300) is uniformly covered with halogen-containing groups (400).

12. The intermediate structure of claim 10, wherein the top surface (300) is patterned, the pattern comprising: a first region (510) having a halogen-containing group (400) that functionalizes the surface and a second region (520) having no halogen-containing group (400) that functionalizes the surface.

13. The intermediate structure of claim 12, further comprising: the metal, metal oxide or metal nitride (600) of the second region (520) is selectively covered with respect to the first region (510).

14. Intermediate structure according to any one of claims 10 to 13, wherein the depth of the halogen-containing group (400) is limited to 10nm, preferably 5nm, more preferably 2nm, from the top surface (300).

15. The intermediate structure of any one of claims 10 to 14, wherein the carbon-based layer (200) comprises:

a first carbon-based layer (210);

a metal-containing layer (800) over the first carbon-based layer (210); and

a second carbon-based layer (220) over the metal-containing layer (800), the second carbon-based layer (220) comprising a top surface (300) functionalized with a halogen-containing group (400).

Technical Field

The present invention relates to a photolithography patterned mask and a method of manufacturing the same, and particularly to a patterned mask that can be prepared without using a photoresist.

Background

The fabrication of any semiconductor device typically involves multiple lithographic steps that define the geometry and functionality of the device. In addition to the continuing demand for shrinking semiconductor device dimensions, the wavelengths of light used in advanced lithography are also decreasing until the Extreme Ultraviolet (EUV) range in commercial scanners is eventually reached. Ongoing EUV lithography research is mainly directed to the development of high power EUV light sources and to finding the best photoresist materials.

The latter requirement is particularly high because at EUV photon energies (e.g., 92.5eV), the interaction mechanism between light and photoresist materials is converted from a photochemical process to an irradiation chemical process. In addition, other challenges that must be addressed include: random effects such as photon/acid shot noise (photon/acid shot noise), RLS (resolution-line width roughness-sensitivity) trade-offs, bragg mirror contamination, etc. Therefore, it would be beneficial to be able to fabricate a lithographic pattern mask without the use of photoresist materials.

In US7318993 a resist-free lithographic method is described, which is based on doping a pattern into a semiconductor mask layer using selective ion implantation and subsequently removing undoped regions of the semiconductor mask layer in a wet-chemical manner. However, this method only allows patterning features of about 100 nm.

Disclosure of Invention

One aspect of the invention provides a method of forming a patterned mask over a layer to be patterned. It is another object of the present invention to provide structures and uses related thereto. This object is achieved by the method and arrangement according to the invention.

One advantage of embodiments of the present invention is that a patterned mask can be formed without the use of photoresist (i.e., can be used in resistless lithography), thereby reducing the complexity of the patterned mask. Another advantage of an embodiment of the present invention is that it obviates the need to find an optimal photoresist material. Another advantage is that circumventing photoresist materials can reduce the overall production cost of patterned masks by reducing development costs, material costs, processing costs, and the like.

An advantage of embodiments of the present invention is that multiple steps can be skipped compared to conventional resist lithography, and the resulting method is therefore relatively simple and straightforward.

One advantage of embodiments of the present invention is that to pattern the patterned mask, only the top surface needs to be exposed to an energy source, and little to no surface penetration is required; thereby allowing a reduction in the energy dose (e.g., EUV dose) required for exposure. Another advantage of embodiments of the present invention is that the throughput of lithography can be improved by allowing a lower energy dose to be used.

One advantage of embodiments of the present invention is that the patterned mask is compatible with a wide variety of underlying layers to be patterned.

One advantage of embodiments of the present invention is that the patterned mask and its fabrication utilize materials and techniques commonly used in semiconductor fabrication, and thus can be easily integrated into existing processes.

One advantage of embodiments of the present invention is that the patterned mask can be fabricated at relatively low temperatures, thereby making it compatible with materials and structures (e.g., layers to be patterned) having low thermal budgets.

One advantage of embodiments of the present invention is that relatively small features (e.g., having a critical dimension of about 20nm or less) may be defined in a patterned mask. One advantage of embodiments of the present invention is that the patterned mask can be used in advanced lithographic patterning of 7nm and higher technology nodes.

An advantage of embodiments of the present invention is that a sufficiently good line etch roughness may be obtained.

In a first aspect, the invention relates to a method of forming a patterned mask on a layer to be patterned, the method comprising:

(a) providing a carbon-based layer over a layer to be patterned;

(b) functionalizing the top surface of the carbon-based layer with a halogen-containing group;

(c) partially removing the halogen-containing groups by exposing the top surface to an energy source in a patterned manner (pattern-wise) to form a pattern comprising a first region having surface-functionalizing halogen-containing groups and a second region free of surface-functionalizing halogen-containing groups; and

(d) a metal, metal oxide or metal nitride is selectively formed on the second region relative to the first region.

In a second aspect, the invention relates to a method of patterning a layer, the method comprising performing the method according to the first aspect and further comprising, after step d, step e: (e) the pattern is transferred into the layer to be patterned by selectively etching through the carbon based layer and into the layer to be patterned relative to the metal, metal oxide or metal nitride.

In a third aspect, the invention relates to an intermediate structure for use in a method according to any one of the preceding claims, the intermediate structure comprising:

(i) a layer to be patterned; and

(ii) a carbon-based layer over a layer to be patterned, a top surface of the carbon-based layer functionalized with a halogen-containing group.

Particular and preferred aspects of the invention are set out in the accompanying independent and dependent claims. Features from the dependent claims may be combined with features from the independent claims and features from other dependent claims as appropriate and not merely as explicitly set out in the claims.

While improvements, changes and developments in the devices have been made in the art, the concepts of the present invention are believed to represent substantially new and novel improvements, including changes to existing practices, resulting in the provision of more efficient, more stable and more reliable devices of this nature.

The above and other characteristics, features and advantages of the present invention will become apparent from the following detailed description, taken in conjunction with the accompanying drawings, which illustrate, by way of example, the principles of the invention. This description is intended for purposes of illustration only and is not intended to limit the scope of the present disclosure. The reference figures quoted below refer to the attached drawings.

Drawings

Fig. 1 and 2 each schematically show different steps in an exemplary embodiment of an embodiment of the invention.

The same reference numbers in different drawings identify the same or similar elements.

Detailed Description

The present invention will be described with respect to particular embodiments and with reference to certain drawings but the invention is not limited thereto but only by the claims. The drawings described are only illustrative and are non-limiting. In the drawings, the size of some of the elements may be exaggerated and not drawn on scale for illustrative purposes. The dimensions and relative dimensions do not correspond to actual reductions to practice of the invention.

Furthermore, the terms first, second, third and the like in the description and in the claims, are used for distinguishing between similar elements and not necessarily for describing a sequential or chronological order. It is to be understood that the terms so used are interchangeable under appropriate circumstances and that the embodiments of the invention described herein are capable of operation in other sequences than described or illustrated herein.

Furthermore, the terms top, over, under and the like in the description and the claims are used for descriptive purposes and not necessarily for describing relative positions. It is to be understood that the terms so used are interchangeable under appropriate circumstances with respect to their counterparts, and that the embodiments of the invention described herein are capable of operation in other orientations than described or illustrated herein.

It is to be noticed that the term 'comprising', used in the claims, should not be interpreted as being limitative to the parts listed thereafter, but does not exclude other elements or steps. It is therefore to be understood that the presence of the stated features, integers, steps or components is indicated but does not preclude the presence or addition of one or more other features, integers, steps or components or groups thereof. Thus, the terms "comprises" and "comprising" cover the presence of the stated features alone and the presence of these features in combination with one or more other features. Thus, the scope of the expression "a device comprising the components a and B" should not be understood as limiting the device to being constituted by the components a and B only. It is indicated that for the present invention, the relevant components of the device are only a and B.

Reference in the specification to one embodiment or an embodiment means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention. Thus, the appearances of the phrases "in one embodiment" or "in an embodiment" in various places throughout this specification are not necessarily all referring to the same embodiment, but may. Furthermore, the particular features, structures, or characteristics may be combined in any suitable manner in one or more embodiments as would be apparent to one of ordinary skill in the art.

Similarly, it should be appreciated that in the description of exemplary embodiments of the invention, various features of the invention are sometimes grouped together in a single embodiment, feature, or description thereof for the purpose of streamlining the disclosure and aiding in the understanding of one or more of the various aspects of the invention. This method of disclosure, however, is not to be interpreted as reflecting an intention that the claimed invention requires more features than are expressly recited in each claim. Moreover, as the following claims reflect, inventive aspects may lie in less than all features of a single foregoing disclosed embodiment. Thus, the claims following the detailed description are hereby expressly incorporated into this detailed description, with each claim standing on its own as a separate embodiment of this invention.

Furthermore, when some embodiments described herein include some but not other features included in other embodiments, combinations of features of different embodiments are intended to be included within the scope of the invention and form different embodiments, as would be understood by those skilled in the art. For example, in the claims that follow, any of the claimed embodiments can be used in any combination.

Numerous specific details are set forth in the description herein. However, it is understood that embodiments of the invention may be practiced without these specific details. In other instances, well-known methods, procedures, and techniques have not been shown in detail in order not to obscure an understanding of this description.

The following terms are provided merely to facilitate understanding of the present invention.

As used herein, unless otherwise specified, when a first material is said to be selectively etched relative to a second material, this means that the first material etches faster than the second material. Preferably, the etching process will etch the first material at least two times faster than the second material, or preferably at least five times faster, more preferably at least ten times faster. In some preferred embodiments, the second material may be substantially unetched by the etching process.

As used herein, unless otherwise specified, when a material is said to be selectively formed (e.g., grown or deposited) on a second region relative to a first region, this means that the material is formed faster on the second region than on the first region. Preferably, the material will be formed at the second region at least two times faster than the material formed at the first region, or preferably at least five times faster, more preferably at least ten times faster. In some preferred embodiments, there may be substantially no formation material on the first region.

In a first aspect, the invention relates to a method of forming a patterned mask on a layer to be patterned, the method comprising: (a) providing a carbon-based layer over a layer to be patterned; (b) functionalizing the top surface of the carbon-based layer with a halogen-containing group; (c) partially removing the halogen-containing groups by exposing the top surface to an energy source in a patterned manner, thereby forming a pattern comprising a first region having halogen-containing groups that functionalize the surface and a second region free of halogen-containing groups that functionalize the surface; and (d) selectively forming a metal, a metal oxide or a metal nitride on the second region with respect to the first region.

The second region forms a pattern corresponding to the pattern exposure of the top surface. In some embodiments, the pattern may include features with smaller lateral dimensions of 1 to 100nm, for example 2 to 20 nm.

The layer to be patterned is not particularly limited, and may generally be any layer capable of achieving sufficient etch selectivity with respect to the patterned mask (i.e., such that the patterned mask can serve as a patterned mask for the layer to be patterned). In some embodiments, the layer to be patterned may be an intermediate structure in the manufacture of semiconductor devices, such as a semiconductor substrate or a semiconductor structure.

The carbon-based layer can be any organic material suitable for functionalization with halogen-containing groups. In some embodiments, it may be a halogen-free organic material. In some embodiments, it may consist of carbon, hydrogen, and optionally a dopant.

In some embodiments, the carbon based layer may be an amorphous carbon layer or a polymer layer; an amorphous carbon layer is preferred. Amorphous carbon layers are typically organic layers consisting of carbon, hydrogen and optionally one or more dopants, obtained by chemical vapor deposition of a gas mixture containing one or more hydrocarbon compounds, one or more inert gases, optionally one or more dopants and optionally one or more hydrogen precursors. The amorphous carbon layer may be deposited by various chemical vapor deposition methods. For example, plasma enhanced chemical vapor deposition may be used. For example, high density plasma chemical vapor deposition may be used. The one or more dopants may be selected from: nitrogen, boron, phosphorus or mixtures thereof, and the like. The one or more inert gases may be selected from argon, helium, xenon, krypton and neon. Typically, the hydrocarbon compound is a straight chain hydrocarbon compound. In one embodiment, the hydrocarbon compound is selected from compounds of the formula CxHyWherein x ranges from 2 to 4 and y ranges from 2 to 10. For example, propylene (C)3H6) Propyne (C)3H4) Propane (C)3H8) Butane (C)4H10) Butene (C)4H8) Butadiene (C)4H6) Or acetylene (C)2H2) And combinations thereof are useful as hydrocarbon compounds. In some embodiments, the one or more precursors of hydrogen may be selected from hydrogen gas (H)2) And ammonia (NH)3) Or combinations thereof, and the like. Ar, He and N2Can be used for controlling the amorphous stateDensity of carbon layer and deposition rate. Addition of H2And/or NH3Can be used to control the hydrogen/carbon ratio of the amorphous carbon layer. For example, the amorphous carbon layer may be an advanced patterned filmTM(AdvancedPatterning FilmTMI.e. APFTM) Type PECVD amorphous carbon film. In some embodiments, the carbon-based layer may have a thickness of 2nm to 200 nm.

As used herein, unless otherwise specified, the term "halogen-containing group" refers to a chemical group that includes at least one halogen atom per atom. In some embodiments, the halogen-containing group may be selected from: halogen atoms, halogen ions and molecules containing one or more halogen atoms.

In some embodiments, the halogen-containing group may comprise one or more halogen atoms selected from F, Cl, Br, and I. In a preferred embodiment, the halogen-containing group is an atom selected from F, Cl, Br and I. These halogen atoms are bonded to carbon. For halogen-containing groups, a trade-off between good bonding stability and good sensitivity to energy sources is generally required. For the halogen series F, Cl, Br and I, it is often observed that the halogen atom that provides the highest sensitivity is different from the halogen atom that provides the highest stability. For example, the sensitivity to EUV may be increased in the following order: cl < Br < F < I, while thermal stability generally follows the following order: i < Br < Cl < F. The bonding of the halogen-containing group is generally sufficiently stable, at least for F and Cl, to advantageously allow the intermediate structure to move freely (i.e., in an ambient atmosphere) from the first process tool (e.g., a plasma chamber) to the second process tool (e.g., an EUV system) with little degradation.

In some embodiments, step b may comprise plasma treatment.

In some embodiments, the processing conditions used in step b may be selected to optimize the following objectives: maximizing the lateral concentration of halogen functional groups (e.g., highly and uniformly halogen functionalized at the top surface while minimizing surface penetration thereof), minimizing the formation of defect sites and/or contaminating groups, and minimizing etching of carbon-based materials (e.g., during plasma processing). Optimizing the processing conditions in this manner typically has a positive impact on the line width roughness achieved by the final patterned mask.

In some embodiments, step b may comprise: a halogen-containing group (i.e., a halogen atom or ion itself, or a molecule containing one or more halogen atoms, such as a halogenated hydrocarbon) is directly bonded chemically (e.g., covalently or ionically) to the carbon-based layer. This chemical bonding advantageously provides stable and well-defined attachment of the halogen-containing group. For example, step b may include exposing the carbon-based layer to a halogen-based plasma (e.g., Cl)2Or CF4). Typically in reactions (which are self-limiting in nature), this results in halogenation of only the first few monolayers (e.g., 10nm deep or less) of the carbon-based layer. In some embodiments, it is advantageous that this halogenation is limited to the top surface and not into the carbon-based layer (or to a maximum of 10nm penetration), since in this case also the energy source only needs to act on the surface, which in turn allows for a relatively low energy dose.

In some embodiments, step b results in functionalization of only the top surface of the carbon-based layer.

In some embodiments, step b can result in functionalization of the top (top portion) of the carbon-based layer, the top portion including a top surface and having a thickness of 10nm or less, preferably 5nm or less, more preferably 2 nm.

Although the invention also works when the thicker part of the carbon-based layer is functionalized, deeper functionalization is not particularly advantageous and requires a higher energy budget during steps b and/c

In some embodiments, step b results in uniform functionalization of the top surface.

In some embodiments, step b can include adsorbing a halogen-containing group (e.g., a molecule containing a halogen atom) to the carbon-based layer. Advantageously, this can be easily achieved using wet deposition methods (e.g., spin coating). However, this may result in less stable attachment of the halogen-containing groups (e.g. because the adsorbed molecules may migrate more easily on the surface than in chemical attachment) and it may be desirable to keep the time between patterning the halogen-containing groups (i.e. step c) and patterning the layer to be patterned (i.e. step e) relatively short. For example, step b may comprise: a self-assembled monolayer (SAM) is provided over the top surface, the self-assembled monolayer including halogen-containing groups. Typically, the self-assembled monolayer also includes functional groups for adsorption or attachment to the carbon-based layer.

The energy source will typically cleave (e.g., decompose by irradiation) halogen bonds (e.g., C — X bonds, where X is halogen and C is the carbon atom to which it is attached) in a targeted manner; such an energy source may be referred to as a "high energy source". In some embodiments, the energy source may be selected from: extreme Ultraviolet (EUV) irradiation, X-ray irradiation, electron beam irradiation, and ion beam irradiation; EUV irradiation is preferred. Alternatively, the energy source may be coupled to scanning probe lithography (e.g. using an atomic force microscope, AFM, or scanning tunneling microscope, STM), such as thermal or electric field scanning probe lithography.

Halogen bonds (e.g., C-X) are generally more susceptible to breakage under the influence of an energy source than other bonds (e.g., C-C bonds) common in carbon-based materials, so halogen-based functionalization advantageously allows for a reduction in the energy dose required. The dose is preferably selected to reduce the concentration of halogen atoms in the target region by at least 30%, preferably at least 50%, more preferably at least 90%. This reduction advantageously allows for a good area-selective formation of metal, metal oxide or metal nitride in step d.

The regioselective formation is typically a regioselective deposition in the case of metals and a regioselective deposition or growth in the case of metal oxides or metal nitrides.

Without being bound by theory, the region-selective formation in step d is believed to be generally achieved by the difference in nucleation rates of the precursors of the metal, metal oxide or metal nitride between the first region and the second region. Thus, forming a metal, metal oxide or metal nitride in step d may preferably include: at least one precursor (preferably only precursor) is used that results in poor nucleation on the second surface (e.g., at least 2 times slower, preferably at least 5 times slower, more preferably at least 10 times slower than the first surface). In some embodiments, the precursor may be a halide precursor(e.g., TiCl4Or TiI4) Or preferably a precursor adsorbed on a hydrophilic surface.

In some embodiments, step d may comprise: atomic Layer Deposition (ALD), Molecular Layer Deposition (MLD), or Chemical Vapor Deposition (CVD); atomic layer deposition is preferred. In some embodiments, step d may comprise a cyclical deposition process (e.g., a plurality of ALD cycles). In some embodiments, step d may comprise: one or more deposition steps alternating with one or more defect etching steps. The defect etching step may be: for example, undesired nucleation sites (i.e., on the first region) are reduced (or completely removed) before the deposition process is continued to expand the remaining nucleation sites. This alternation of formation and defect etching steps can advantageously positively affect the area selectivity achieved by metal, metal oxide or metal nitride formation.

In some embodiments, the metal, metal oxide or metal nitride selectively formed in step d may be used with a patterned hard mask layer. In some embodiments, the metal may be ruthenium, the metal oxide may be titanium oxide, and the metal nitride may be titanium nitride. In some embodiments, the metal, metal oxide and/or metal nitride may be formed to a thickness of at least 2nm, preferably at least 3nm, for example 3nm to 10 nm. The obtainable etch selectivity between the metal, metal oxide or metal nitride and the carbon-based material is high, so that even such a relatively thin metal, metal oxide or metal nitride layer is sufficient to achieve good pattern transfer. The lower formation temperature in step d (see next paragraph) also typically has a positive effect on the line width roughness obtained by the final patterned mask.

In some embodiments, at least steps c and d (preferably the entire process) are carried out at a temperature below 300 ℃, preferably below 250 ℃, more preferably below 200 ℃ unless thermal energy is used in step c. The thermal decomposition temperature of the C-X bond is typically about 250 to 300 ℃, and therefore the process steps are advantageously carried out below this temperature in order to avoid undesired dehalogenation (unless the dehalogenation is purposefully carried out in a targeted manner in step C, for example using thermal scanning probe lithography).

In some embodiments, step a may comprise: (a1) providing a first carbon-based layer over a layer to be patterned; (a2) providing a sensitizing layer over a first carbon-based layer; and (a3) providing a second carbon-based layer over the sensitizing layer. In some embodiments, the thickness of the sensitizing layer can be 1nm to 5 nm. The sensitizing layer is typically a layer that renders the structure (e.g., functionalized halogen-containing group) more susceptible to the energy source (e.g., increases EUV or X-ray absorption) which advantageously allows the dose of the energy source to be further reduced. In some embodiments, the sensitizing layer can be an EUV sensitizing layer. In some embodiments, the sensitizing layer can be a metal-containing layer. In some embodiments, the metal-containing layer may comprise a metal, a metal nitride, a metal oxide, a metal halide, a metal telluride, or a metal antimonide, wherein the metal element is selected from: zn, Ti, Hf, Zr, Ru, Ni, Co, Mo, Sn, and In. For example, the metal-containing layer can be TiOx、TiN、Ti、NiOx、SnOxOr InOx. In some embodiments, the thickness of the second carbon-based layer may be 2nm to 20nm, preferably 2nm to 10 nm.

In some embodiments, the method may further comprise a step after step d of: the pattern is transferred to the carbon based layer by selectively etching the carbon based layer relative to the metal, metal oxide or metal nitride and into the layer to be patterned. Thus, the carbon-based layer is fully patterned to expose the underlying layer to be patterned.

In a second aspect, the invention relates to a method of patterning a layer, the method comprising performing the method according to the first aspect and further comprising, after step d, step e: (e) the pattern is transferred into the layer to be patterned by selectively etching through the carbon based layer and into the layer to be patterned relative to the metal, metal oxide or metal nitride.

In some embodiments, any feature of any embodiment of the second aspect may be described independently in any embodiment of any other aspect, respectively.

In a third aspect, the present invention relates to an intermediate structure for use in the method of any of the embodiments of the first and second aspects, the intermediate structure comprising: (i) a layer to be patterned; and (ii) a carbon-based layer over the layer to be patterned, a top surface of the carbon-based layer functionalized with halogen-containing groups.

In some embodiments, the top surface may be uniformly covered with halogen-containing groups. The intermediate structure may be as obtained after step b and before step c in the method according to the first aspect.

In some embodiments, the top surface can be patterned, the pattern including first regions having halogen-containing groups that functionalize the surface and second regions having no halogen-containing groups that functionalize the surface. The intermediate structure may be as obtained after step c in the method according to the first aspect.

In some embodiments, the method may comprise: the metal, metal oxide or metal nitride of the second region is selectively covered relative to the first region. The intermediate structure may be as obtained after step d in the method according to the first aspect.

In some embodiments, the depth of the halogen-containing group may be limited to 10nm, preferably 5nm, more preferably 2nm from the top surface.

In some embodiments, the carbon-based layer may include: (iia) a first carbon-based layer; (iib) a sensitizing layer (typically a metal-containing layer) over the first carbon-based layer; and (iic) a second carbon-based layer over the sensitizing layer, the second carbon-based layer comprising a top surface functionalized with a halogen-containing group.

In some embodiments, any feature of any embodiment of the third aspect may be described independently in any embodiment of any other aspect, respectively.

The present invention will now be described by a detailed description of several embodiments of the invention. It is clear that other embodiments of the invention can be constructed according to the knowledge of a person skilled in the art without departing from the true technical teaching of the invention, which is limited only by the claims appended hereto.

13页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:感光性树脂组合物、经图案化的固化膜的制造方法、及经图案化的固化膜

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类