定向腔室及处理基板的方法

文档序号:1600326 发布日期:2020-01-07 浏览:5次 >En<

阅读说明:本技术 定向腔室及处理基板的方法 (Orientation chamber and method for processing substrate ) 是由 洪伟华 于 2019-06-28 设计创作,主要内容包括:本公开涉及一种定向腔室以及一种处理一基板的方法。本公开实施例提供一种半导体基板处理系统的定向腔室。定向腔室包括基板固持座、定向检测器及吹扫系统。基板固持座配置以固持基板。定向检测器配置以检测基板的定向。吹扫系统配置以将清洁气体注入定向腔室中并从基板上移除污染物。(The present disclosure relates to an orientation chamber and a method of processing a substrate. Embodiments of the present disclosure provide an orientation chamber of a semiconductor substrate processing system. The orientation chamber includes a substrate holder, an orientation detector, and a purge system. The substrate holder is configured to hold a substrate. The orientation detector is configured to detect an orientation of the substrate. The purge system is configured to inject a cleaning gas into the directional chamber and remove contaminants from the substrate.)

定向腔室及处理基板的方法

技术领域

本公开实施例关于一种半导体基板处理系统及方法,特别涉及一种半导体基板处理系统的定向腔室,其具有除气(degassing)功能。

背景技术

半导体集成电路(integrated circuit,IC)产业经历了指数级的成长。在集成电路材料及设计上的技术进步下,产生了多个世代的集成电路,其中每一世代相较前一世代具有更小更复杂的电路。在集成电路发展的过程中,功能密度(即,每一芯片区域内互连元件的数目)通常增加,而几何尺寸(即,工艺中所能产出的最小构件(或者线))则缩小。尺寸缩小的工艺通常提供生产效率增加及制造成本降低的好处。然而,这种尺寸缩小的情况也增加了加工及制造集成电路的复杂度。例如,随着特征尺寸的缩小,相关电路在制造过程中对于污染变得更加敏感。

聚集工具(Cluster tools)为半导体制造中的一重要发展。通过在单一机壳内提供多个工具,可以在半导体基板上执行若干个制造程序而不会将其暴露于含有大量污染物的外部环境。聚集工具内的密封件可用于建立不同的气体环境区域(atmospheric zones)。举例来说,处理模块和中央转移腔室可以在真空环境下操作,而负载锁定腔室和基板输送载具可以在惰性气体环境下操作。此外,由于基板不直接暴露于晶圆厂环境,因此可以在基板周围保持较少微粒的气体环境,而晶圆厂的其余部分则可采用不太严格的控制。

虽然现有的半导体基板处理系统及方法通常是足够的,但它们仍无法在各个方面令人满意。

发明内容

本公开一些实施例提供一种定向腔室(orientation chamber)。所述定向腔室包括基板固持座、定向检测器及吹扫(purging)系统。基板固持座配置以固持基板。定向检测器配置以检测基板的定向。吹扫系统配置以将清洁气体注入定向腔室中并从基板上移除污染物。

本公开一些实施例提供一种处理基板的方法。所述方法包括:提供用于基板处理的半导体基板处理系统,包括定向腔室及处理模块;在定向腔室中定向(orienting)基板;在处理模块中处理基板;将经处理过的基板从处理模块转移到定向腔室;以及在定向腔室中进行除气处理(degassing process)。

本公开一些实施例提供一种处理基板的方法。所述方法包括:提供用于基板处理的半导体基板处理系统,包括定向腔室及处理模块;在定向腔室中定向基板;在定向腔室中定向基板的同时,将第一清洁气体注入定向腔室中以移除基板上的微粒污染物;在处理模块中处理基板;将经处理过的基板从处理模块转移到定向腔室;以及将第二清洁气体注入定向腔室中,以将从经处理过的基板释放(outgassed)的卤素气体移除。

附图说明

图1是根据一些实施例的半导体基板处理系统的示意顶视图。

图2是根据一些实施例的图1中的定向腔室的示意侧视图。

图3是根据一些实施例的图1中的定向腔室的示意侧视图。

图4是根据一些实施例的半导体基板处理方法的简化流程图。

图5是显示根据一些实施例的在定向腔室中进行的除气处理的示意图。

附图标记说明:

10~半导体基板处理系统;

12~中央转移腔室;

13~转移机构;

14~处理模块;

16~负载锁定腔室;

16A~第一门;

16B~第二门;

18~设备前端模块;

19~转移机构;

20~装载端;

21~输送载具;

22~定位腔室;

221~门;

23~基板固持座;

23A~旋转轴;

24~驱动机构;

25~定向检测器;

26~控制器;

27~吹扫系统;

271~进气管;

272~出气管;

273~气体调节器;

28~气体检测器;

29~能量源;

100~方法;

101、102、103、104、105、106、107、108、109~操作;

B~气体界面;

C1~第一清洁气体;

C2~第二清洁气体;

W~基板。

具体实施方式

以下的公开内容提供许多不同的实施例或范例以实施本公开的不同特征。以下描述具体的构件及其排列方式的实施例以阐述本公开。当然,这些实施例仅作为范例,而不该以此限定本公开的范围。例如,在说明书中叙述了一第一特征形成于一第二特征之上或上方,其可能包含第一特征与第二特征是直接接触的实施例,亦可能包含了有附加特征形成于第一特征与第二特征之间,而使得第一特征与第二特征可能未直接接触的实施例。另外,在本公开不同范例中可能使用重复的参考符号及/或标记,此重复为了简化与清晰的目的,并非用以限定所讨论的各个实施例及/或结构之间有特定的关系。为了简单和清楚起见,可以以不同比例任意绘制各种特征。

再者,空间相关用语,例如“在…下方”、“下方”、“较低的”、“上方”、“较高的”及类似的用语,为了便于描述图示中一个元件或特征与另一个(些)元件或特征之间的关系。除了在附图中示出的方位外,这些空间相关用语意欲包含使用中或操作中的装置的不同方位。设备/装置可能被转向不同方位(旋转90度或其他方位),则在此使用的空间相关词也可依此相同解释。应当理解的是,可以在所述方法之前、期间及之后提供额外的操作,并且对于方法的其他实施例,可以替换或消除所述的一些操作。

参考图1,在一些实施例中,半导体基板处理系统10被配置用以处理基板W。基板W可包括一或多个半导体、导体及/或绝缘层。半导体层可包括例如硅或锗的基本半导体,其具有单晶、多晶、非晶及/或其他合适的结构;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟及/或锑化铟;合金半导体,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;任何其他合适的材料;及/或上述的组合。在一些实施例中,半导体的组合可采用混合物或梯度的形式,例如基板中的Si和Ge的比率可在不同位置上变化。在一些实施例中,基板W可包括层状半导体。范例包括在绝缘体上层叠半导体层,例如用以制造绝缘体上硅(silicon-on-insulator,SOI)基板、蓝宝石上硅(silicon-on-sapphire)基板或绝缘体上硅锗(silicon-germanium-on-insulator)基板,或者在玻璃层叠半导体以制造薄膜晶体管(thin film transistor,TFT)。

如图1所示,半导体基板处理系统10为聚集工具(Cluster tools),其包括具有转移机构13(例如,多轴机械手臂)的中央转移腔室12、一或多个处理模块(process module)14、一或多个负载锁定腔室(load lock chambers)16、具有转移机构19(例如,多轴机械手臂)的设备前端模块(equipment front end module,EFEM)18、一或多个装载端20及定位腔室(orientation chamber)22。中央转移腔室12连接到处理模块14和负载锁定腔室16,此配置允许转移机构13在处理模块14和负载锁定腔室16之间转移基板W。应当理解,在不同实施例中可以添加或省略半导体基板处理系统10的元件,并且本公开不以实施例为限。

处理模块14可配置以在基板W上执行各种制造程序。基板制造程序可包括沉积工艺,例如物理气相沉积(physical vapor deposition,PVD)、化学气相沉积(chemicalvapor deposition,CVD)、等离子体增强型化学气相沉积(plasma-enhanced chemicalvapor deposition,PECVD)、电化学沉积(electrochemical deposition,ECD)、分子束外延(molecular beam epitaxy,MBE)、原子层沉积(atomic layer deposition,ALD)及/或其他沉积工艺;蚀刻工艺,包括湿式和干式蚀刻及离子束磨蚀(ion beam milling);微影曝光;离子布植;热处理,例如退火及/或热氧化;清洗处理,例如漂洗及/或等离子体灰化(plasmaashing);化学机械研磨或化学机械平坦化(统称”CMP”)工艺;测试;任何涉及处理基板W的程序;及/或任何程序的组合。在一些实施例中,每个处理模块14用于在基板W上执行特定的制造程序。在各种实施例中,在被送出半导体基板处理系统10之前,基板W可由一或多个处理模块14处理。

在一些实施例中,由中央转移腔室12和处理模块14界定的半导体基板处理系统10的区域是密封的。气体环境控制(Atmospheric controls),包括过滤,可以提供极低水平(low levels)的微粒和空气分子污染(airborne molecular contamination,AMC)的环境,其中微粒和空气分子污染两者都可能损坏基板W。通过在半导体基板处理系统10内建立微环境,处理模块14可以在比周围设施更清洁的环境下操作,如此允许在基板处理期间以较低的成本更严格地管控污染物。尽管未示出,处理模块14和中央转移腔室12可以在基板处理期间通过使用真空系统而在真空环境下操作。

负载锁定腔室16可通过将中央转移腔室12和处理模块14与设备前端模块18分离来保持中央转移腔室12和处理模块14内的气体环境。如图1所示,每个负载锁定腔室16包括两个门,连接到中央转移腔室12的第一门16A与连接到设备前端模块18的第二门16B。基板W被送入负载锁定腔室16中之后,两个门被密封。负载锁定腔室16能够产生与设备前端模块18或中央转移腔室12相容的气体环境,取决于装载的基板W预定的后续位置。这可能需要通过例如添加净化气体(或惰性气体)或建立真空的机构以及用于调节负载锁定腔室气体环境的其他合适的工具来改变负载锁定腔室16中的气体含量。当达到正确的气体环境时,可以开启对应的门,并且接收基板W。在一些实施例中,一个负载锁定腔室16可被配置以仅处理未处理过的(unprocessed)基板W,而另一个负载锁定腔室16可被配置以处理经处理过的(processed)基板W。

设备前端模块18可提供一封闭环境,在其中将基板W传入及传出半导体基板处理系统10。设备前端模块18包含转移机构19,其负责执行基板W的物理转移。在一些实施例中,也可以配置气体处理系统(图未示)以在设备前端模块18与装载端20之间产生气体界面B,以限制停靠在装载端20的输送载具21与设备前端模块18之间的气体流动并减少交叉污染。

基板W通过装载端20载入及载出半导体基板处理系统10。在一些实施例中,基板W包含在输送载具21中抵达装载端20,输送载具21例如为前开式晶圆传送盒(front-openingunified pod,FOUP)、前开式出货盒(front-opening shipping box,FOSB)、标准机械接口晶圆盒(standard mechanical interface(SMIF)pod)及/或其他合适的容器。输送载具21是用于保持一或多个基板W并用于在不同制造工具或工作站之间输送基板W的盒。在一些实施例中,输送载具21可具有例如耦合位置及电子标签等特征,以便于与自动物料搬运系统一起使用。输送载具21可被密封,以便为包含在其中的基板W提供微环境并保护基板W和半导体基板处理系统10免受污染。为了避免受控气体环境的损失,输送载具21可具有专门设计的门,使得输送载具21保持密封,直到其与装置端20对接为止。在被一或多个处理模块14处理之后,基板W可被转移到用于经处理过的基板W的另一个输送载具21中,该输送载具将被输送到下一个处理系统或检查站。

定向腔室22可以在随后的制造程序之前提供定向(orienting)基板W的功能。举例来说,在图1所示的一些实施例中,定向腔室22连接到设备前端模块18。在装载的基板W在定向腔室22中被适当地定向之后(通过定向处理(orientation processing),这将在后面进一步描述),基板W可以通过设备前端模块18的转移机构19输送到负载锁定腔室16,然后通过中央转移腔室12的转移机构13输送到一或多个处理模块14以进行制造程序。

图2是根据一些实施例的图1中的定向腔室22的示意侧视图。应当理解,在不同实施例中可以将一些附加元件添加到定向腔室22中,并且在定向腔室22的其他实施例中可以替换或消除下面描述的一些元件。

如图2所示,基板固持座23可设置在定向腔室22中,以在执行基板定向的同时固持基板W。在一些实施例中,基板固持座23通过真空力固持或保持基板W在其支持面(例如,所示上表面)上。然而,在不同实施例中也可以使用其他力或夹持机构。此外,驱动机构24可耦合到基板固持座23并配置以驱动基板固持座23和其上的基板W沿着旋转轴23A转动。由此,基板W可在定向处理期间旋转。

定向检测器25也可设置在定向腔室22中并配置以检测基板W的定向。在一些实施例中,定向检测器25可通过光学机构检测基板W的平边或其他定向特征(例如,定向凹口)。例如,定向检测器25可包括光发射器及光检测器,在基板W旋转期间,光发射器朝向基板W的边缘发射光,光检测器可以接收从基板W反射的光,从而检测基板W的平边或其他定向特征的位置。在一些替代实施例中,定向检测器25可为另一种类型的光学定向检测器,或者定向检测器25可通过另一种合适的机制来检测基板W的定向。

当定向检测器25检测到基板W的平边或其他定向特征时,它产生位置信号并将位置信号发送到控制器26(例如,电脑)。根据位置信号,控制器26控制驱动机构24以使基板固持座23转动到基板W被适当地定向的位置,以用于随后的制造程序。然后,基板W从定向腔室22被转移到处理模块14以进行处理。

在一些实施例中,如图2所示,定向腔室22还包括吹扫系统(purging system)27,其配置以将清洁气体C1注入定向腔室22中并从定向腔室22中移除污染物。举例来说,吹扫系统27可配置以在执行基板定向的同时(即,在定向处理期间)将清洁气体C1注入定向腔室22中,以便在制造程序之前从基板W上移除微粒污染物。微粒污染物可能来自输送载具21及/或设备前端模块18内的环境。如果具有微粒污染物的基板W进入处理模块14中,则微粒污染物会对制造程序的结果(例如,良率)产生不利的影响。

在一些实施例中,吹扫系统27可包括进气管271和出气管272,进气管271配置以将清洁气体C1注入定向腔室22中,而出气管272配置以从定向腔室22中移除清洁气体C1(以及污染物)。举例来说,在如图2所示的实施例中,进气管271可延伸到或设置在基板固持座23上方,用于将清洁气体C1引导到基板W的上表面上。至少一个气体来源(图未示)可以流体地连接到进气管271以供应清洁气体C1。出气管272可连接到定向腔室22的一壁部分并位于基板固持座23的下方,用于排出流过基板W的清洁气体C1。排气泵(图未示)连接到出气管272,用于以恒定的流速排出清洁气体C1。在一些其他实施例中,进气管271及/或出气管272的位置及/或数量可以改变。

在一些实施例中,定向腔室22可包括门221(参考图1),以将定向腔室22与设备前端模块18物理性地分离,如此允许在不污染设备前端模块18或半导体基板处理系统10的其他部分的情况下执行吹扫。在一些其他实施例中,可以省略门221(及出气管272),并且可通过连接到设备前端模块18的气体处理系统(图未示)排出清洁气体C1。

在一些实施例中,定向腔室22还可以配置成在将基板W载出半导体基板处理系统10之前执行除气处理(degassing process),此将在后面进一步描述。除气处理是在基板W通过处理模块14处理并输送回定向腔室22之后执行。在如图5所示的一些实施例中,在除气处理期间,基板W由基板固持座23固定地保持(即,不会旋转)。

为了执行除气处理,也可以使用吹扫系统27(如上所述)以上述类似的方式将清洁气体C2注入定向腔室22中,以从基板W上移除卤素气体(也视为污染物),如图5所示。在基板制造程序中经常使用含卤素化合物,这些包括NF3、CF4,、SF6、CH2F2,、CHF3、C2F6、Cl2、CHCl3、CCl4、BCl3、HBr、CHBr3及/或其他类似物。这些化合物可能直接粘附到基板W上。此外,卤素可能与化合物分离并与基板W结合。结合的卤素有可能在之后发生脱气(outgas)并污染其他基板及工具。

在一些实施例中,如图2所示,气体检测器28设置在定向腔室22中,以检测从基板W释放(outgassed)的化合物。气体检测器28可用于检测特定卤素,包括氟、氯、溴、碘及/或其组合。另外,气体检测器28产生响应于从基板W释放的特定卤素的含量的检测信号,并将检测信号发送到控制器26。根据检测信号,控制器26控制安装于进气管271上的气体调节器273(例如,节流阀或气压控制器)以调节供应到定向腔室22中的清洁气体C2的量,使得清洁气体的量足以移除来自基板W的卤素气体。

尽管在本实施例中气体检测器28是设置在定向腔室22的底部,但是在不同实施例中,它也可以设置在定向腔室22内的其他合适位置。此外,也可以使用多个气体检测器28。

参考图3,在一些其他实施例中,还可配置能量源29以向基板W提供能量以加速基板W上的化学物质(即,卤素)的释放。能量源29可为紫外光源、微波发射器、等离子体产生器、加热机构及/或其他合适的能量源。在一些实施例中,能量源29可以设置在定向腔室22的受控环境中,如图3所示。在一些替代实施例中,能量源29可位于受控环境之外并由可穿透的屏障(permeable barrier)隔开,如此可以维修能量源29而不会污染受控环境。

在除气处理的一些范例中,可通过能量源29使用紫外光或微波来照射基板W,卤素气体从基板W释放后通过出气管272排出。在另一些范例中,基板可通过能量源29被加热到不低于100℃的温度(优选地在100℃和250℃之间),并且在小于100Torr的真空环境中,加热与真空结合一起从基板W吸取(draw)卤素气体。在又另一些范例中,进气管271可使基板W暴露于H2环境,等离子体产生器形式的能量源29从H2产生H离子,与基板W结合的卤素因此可反应形成气体并通过出气管272排出。

在一些实施例中,当不再检测到特定卤素时,气体检测器28向控制器26发送停止信号。然后,控制器26控制气体调节器273或吹扫系统27停止注入清洁气体C2。之后,通过转移机构19将经清洁过的基板W从定向腔室22转移到输送载具21。

接下来,参考图4,其是根据一些实施例的使用上述半导体基板处理系统10处理半导体基板的方法100的简化流程图。为了说明,将结合图1到3及5中所示的附图描述流程图。在不同实施例中,可以替换或消除所述的一些操作。或者,可以在不同实施例中添加一些操作。方法100包括多个操作,例如操作101、102、103、104、105、106、107、108及109。

在操作101中,半导体基板处理系统10接收包含在输送载具21内的基板W(待处理),并且输送载具21对接到装载端20,如图1所示。

在操作102中,通过设备前端模块18的转移机构19将基板W从输送载具21取出并***定向腔室22中,如图1所示。

在操作103中,在定向腔室22中进行基板定向(substrate orientation)的同时进行吹扫处理(purging process),如图2所示。在一些实施例中,基板定向的进行包括以下步骤:将基板W定位在设置于定向腔室22中的基板固定座23上;通过驱动机构24转动基板固定座23及其上的基板W;在基板W旋转期间,通过定向检测器25检测基板W的定向(orientation),例如平边或其他定向特征;以及根据从定向检测器25输出的位置信号,通过控制器26控制基板固定座23旋转到基板W被适当地定向的位置,以用于后续的制造程序。

在一些实施例中,在基板定向的过程中,通过将清洁气体注入定向腔室22中并从定向腔室22中移除清洁气体来同时进行吹扫处理。举例来说,在一些实施例中(参考图2),吹扫系统27通过进气管271将第一清洁气体C1注入或引导到基板W的上表面上,并且通过出气管272将第一清洁气体C1排出定向腔室22。由此,穿过基板W的上表面的第一清洁气体C1的流动可在后续的制造程序之前从基板W上移除微粒污染物,从而改善制造程序的表现。此外,因为吹扫处理与基板定向同时进行,节省了时间。

在一些实施例中,(在定向处理期间供应的)第一清洁气体C1可以是惰性气体,例如N2、氩气及/或其他惰性气体;反应性气体,例如O3、O2、NO、水蒸气及/或清洁干燥空气(clean dry air,CDA);其他合适的吹扫气体;及/或上述的组合。

在一些实施例中,第一清洁气体C1以足以从基板W上移除微粒污染物的流速被供应或注入。例如,注入到定向腔室22中的第一清洁气体C1的流速可以在约10sccm和约2000sccm之间。在一特定范例中,第一清洁气体C1是清洁干燥空气,其以约100sccm和约1000sccm之间的流速流过基板W的上表面。

在操作104中,将基板W转移到处理模块14。在一些实施例中,如图1所示,经定向过的基板W通过设备前端模块18的转移机构19转移到负载锁定腔室16,然后通过中央转移腔室12的转移机构13再转移到处理模块14。应当理解,可以对本公开的实施例进行许多变化及修改。

在操作105中,在处理模块14中对基板W执行制造程序。在一些实施例中,如图1所示,如果需要在另一个处理模块14中的另一道制造程序,则中央转移腔室12的转移机构13会将基板W转移到另一个处理模块14以进行进一步处理。如果不需要,那么经处理过的基板W通过转移机构13传送到负载锁定腔室16,然后在操作106中通过转移机构19传送回定向腔室22。应当理解,可以对本公开的实施例进行许多变化及修改。

在操作107中,设置在定向腔室22中的气体检测器28检测从基板W释放的化合物。在一些实施例中,气体检测器28可用于检测特定卤素,包括氟、氯、溴、碘及/或其组合。如果没有检测到特定卤素,则气体检测器28向控制器26(参考图2)发送转移信号。然后,在操作108中,控制器26(通过未示出的连接手段)控制转移机构19以将基板W转移到位于另一个装载端20的另一个输送载具21。在各种实施例中,在输送载具21中填满了经处理过的基板W之后,可将其输送到下一个处理系统或检查站。

如果检测到特定卤素,则气体检测器28向控制器26发送吹扫信号。然后,在操作109中,控制器26(通过未示出的连接手段)控制吹扫系统27以通过进气管271将第二清洁气体C2注入定向腔室22中,并通过出气管272将第二清洁气体C2排出定向腔室22,来执行除气处理(参考图5)。穿过基板W的上表面的第二清洁气体C2的流动可从基板W上移除卤素气体。在一些实施例中,在除气处理期间,基板W由基板固持座23固定地保持(即,不会旋转),如图5所示。

在一些实施例中,(在除气处理期间供应的)第二清洁气体C2可以是惰性气体,例如N2、氩气及/或其他惰性气体;反应性气体,例如O3、O2、NO、水蒸气及/或清洁干燥空气(CDA);其他合适的吹扫气体;及/或上述的组合。在一些实施例中,供应的第二清洁气体C2不同于(在基板定向期间供应的)第一清洁气体C1。在一特定范例中,在除气处理期间,吹扫系统27将惰性气体或反应性气体注入定向腔室22中以从基板W上移除卤素气体(而在基板定向期间,吹扫系统27将清洁干燥空气注入定向腔室22中以从基板W上移除微粒污染物)。然而,在一些替代实施例中,供应的第二清洁气体C2和第一清洁气体C1可为相同种类的气体。

在一些实施例中,气体检测器28进一步产生响应于从基板W释放的特定卤素的含量的检测信号,并将检测信号发送到控制器26。根据检测信号,控制器26控制安装于进气管271上的气体调节器273以调节供应到定向腔室22中的第二清洁气体C2的量。

在一些实施例中,调节供应的第二清洁气体C2的量,使得其足以从基板W上移除卤素气体。举例来说,当注入到定向腔室22中的第二清洁气体C2的流速在约100sccm和约5000sccm之间(其等于或大于第一清洁气体C1的流速)时,第二清洁气体C2在基板W的上表面流过一足够长的时间可以约为30秒。在一特定范例中,第二清洁气体C2是惰性气体(例如,N2),其以约100sccm和约1000sccm之间的流速流过基板W的上表面。在另一特定范例中,第二清洁气体C2是反应性气体(例如,O2),其以约100sccm和约5000sccm之间的流速流过基板W的上表面。

在一些实施例中,还可配置能量源29以向基板W提供能量以加速基板W上的化学物质(即,卤素)的释放,如图3所示。能量源29可为紫外光源、微波发射器、等离子体产生器、加热机构及/或其他合适的能量源。在卤素气体从基板W释放后,可通过出气管272将其从定向腔室22中移除。

在一些实施例中,当不再检测到特定卤素时,气体检测器28向控制器26发送停止信号。然后,控制器26控制气体调节器273或吹扫系统27停止注入清洁气体C2。之后,同样在控制器26的控制下,在操作108中,通过转移机构19将经清洁过的基板W从定向腔室22转移到输送载具21。在输送载具21中填满了经处理过的基板W之后,在一些实施例中,可将其输送到下一个处理系统或检查站。

本公开实施例具有一些有利的优点:设置于定向腔室的吹扫系统可将清洁气体注入定向腔室中以从基板上移除污染物。在一些实施例中,在进行基板定向的同时,吹扫系统可执行吹扫处理以移除基板上的微粒污染物。借此,可以改善在基板定向之后执行的制造程序的表现,并且节省时间。替代地或另外地,吹扫系统可以与气体检测器配合以执行除气处理,以在基板被送回输送载具之前从基板上移除卤素气体。因此,可以防止从基板释放的卤素气体污染其他基板及工具。结果,进一步改善了半导体基板处理系统的良率。再者,由于上述定向腔室具有除气功能,因此不需要额外的除气腔室。

根据本公开一些实施例,提供一种定向腔室,包括基板固持座、定向检测器及吹扫系统。基板固持座配置以固持基板。定向检测器配置以检测基板的定向。吹扫系统配置以将清洁气体注入定向腔室中并从基板上移除污染物。在一些实施例中,吹扫系统包括进气管,配置以将清洁气体注入定向腔室中并将清洁气体引导到基板。在一些实施例中,吹扫系统还包括出气管,配置以将清洁气体从定向腔室中移除。在一些实施例中,吹扫系统还包括气体调节器,安装于进气管上,并配置以调节供应到定向腔室中的清洁气体的量。在一些实施例中,气体调节器根据从气体检测器输出的检测信号调节供应到定向腔室中的清洁气体的量,检测信号表示从基板释放的特定气体污染物的含量。在一些实施例中,清洁气体选自于由惰性气体、反应性气体及清洁干燥空气组成的群组。在一些实施例中,定向腔室还包括能量源,配置以向基板提供能量以加速基板上化学物质的释放。在一些实施例中,定向腔室还包括驱动机构,配置以根据从定向检测器输出的位置信号驱动基板固持座转动基板。

根据本公开一些实施例,提供一种处理基板的方法。所述方法包括:提供用于基板处理的半导体基板处理系统,包括定向腔室及处理模块;在定向腔室中定向基板;在处理模块中处理基板;将经处理过的基板从处理模块转移到定向腔室;以及在定向腔室中进行除气处理。在一些实施例中,除气处理通过将第一清洁气体注入定向腔室中以将从经处理过的基板释放的卤素气体移除来进行。在一些实施例中,所述方法还包括通过定向腔室中的吹扫系统将第一清洁气体注入定向腔室中的操作。在一些实施例中,所述方法还包括在进行除气处理之前检测定向腔室内的特定卤素的操作。在一些实施例中,除气处理通过进一步调节注入定向腔室中的第一清洁气体的量,使得第一清洁气体足以移除来自经处理过的基板的卤素气体来进行。在一些实施例中,所述方法还包括通过设置于定向腔室的能量源向基板提供能量以在除气处理期间加速基板上卤素气体的释放的操作。在一些实施例中,能量源选自于由紫外光源、微波发射器、等离子体产生器及加热机构组成的群组。在一些实施例中,所述方法还包括在定向腔室中定向基板的同时在基板上进行吹扫处理的操作。在一些实施例中,吹扫处理通过将第二清洁气体注入定向腔室中以移除基板上的微粒污染物来进行。

根据本公开一些实施例,提供一种处理基板的方法。所述方法包括:提供用于基板处理的半导体基板处理系统,包括定向腔室及处理模块;在定向腔室中定向基板;在定向腔室中定向基板的同时,将第一清洁气体注入定向腔室中以移除基板上的微粒污染物;在处理模块中处理基板;将经处理过的基板从处理模块转移到定向腔室;以及将第二清洁气体注入定向腔室中,以将从经处理过的基板释放的卤素气体移除。在一些实施例中,注入到定向腔室中的第一清洁气体的流速不同于注入到定向腔室中的第二清洁气体的流速。在一些实施例中,第一清洁气体不同于第二清洁气体。

以上虽然详细描述了本公开的实施例及它们的优势,但应该理解,在不背离所附权利要求限定的本公开的构思和范围的情况下,对本公开可作出各种变化、替代和修改。举例而言,本领域技术人员将容易理解,可以改变本文中描述的许多特征、功能、工艺和材料而仍保持在本公开的范围内。此外,本申请的范围不旨在限制于说明书中所述的工艺、机器、制造、物质组成、工具、方法和步骤的特定实施例。作为本领域的普通技术人员将容易地从本公开中理解,根据本公开,可以利用现有的或今后将被开发的、执行与在本公开所述的对应实施例基本相同的功能或实现基本相同的结果的工艺、机器、制造、物质组成、工具、方法或步骤。因此,所附权利要求旨在将这些工艺、机器、制造、物质组成、工具、方法或步骤包括它们的范围内。此外,每一个权利要求构成一个单独的实施例,且不同权利要求和实施例的组合都在本公开的范围内。

16页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:电浆产生系统及温度调节方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类