Method and solution for cleaning INGAAS (or III-V) substrates

文档序号:1615814 发布日期:2020-01-10 浏览:10次 中文

阅读说明:本技术 用于清洁ingaas(或iii-v族)基板的方法和解决方案 (Method and solution for cleaning INGAAS (or III-V) substrates ) 是由 春·燕 鲍新宇 于 2016-11-01 设计创作,主要内容包括:本文所述的实施方式大体涉及针对在III-V族沟道材料的外延生长之前清洁基板的改善的方法和解决方案。使用第一处理气体以从基板表面移除原生氧化物层,第一处理气体包括惰性气体和氢源。随后使用第二处理气体Ar/Cl<Sub>2</Sub>/H<Sub>2</Sub>以在基板表面上产生反应性表面层。最后,使用第三处理气体进行氢烘烤(hydrogen bake)以从基板表面移除反应性层,第三处理气体包括氢源和胂源。(Practice of the inventionApproaches generally relate to improved methods and solutions for cleaning a substrate prior to epitaxial growth of a III-V channel material. A first process gas is used to remove a native oxide layer from a substrate surface, the first process gas including an inert gas and a hydrogen source. Followed by a second process gas Ar/Cl 2 /H 2 To produce a reactive surface layer on the substrate surface. Finally, a hydrogen bake (hydrogen bake) is performed using a third process gas to remove the reactive layer from the substrate surface, the third process gas including a hydrogen source and an arsine source.)

1. A processing system for processing a substrate, comprising:

a transfer chamber;

a first chamber coupled to the transfer chamber;

a second chamber coupled to the transfer chamber;

a load lock chamber coupled to the transfer chamber;

a wafer transfer mechanism disposed within the transfer chamber, the wafer transfer mechanism capable of transferring a wafer between the first chamber or the second chamber and the load lock chamber; and

a wafer alignment chamber connecting the load lock chamber to the transfer chamber.

2. The processing system of claim 1, wherein the first chamber is an etch chamber.

3. The processing system of claim 1, wherein the second chamber is a deposition chamber.

4. The processing system of claim 1, further comprising one or more additional chambers coupled to the transfer chamber.

5. The processing system of claim 4, wherein the one or more additional chambers are selected from the group consisting of:

a deposition chamber, an etch chamber, a cleaning chamber, an annealing chamber, an oxidation chamber, a plasma chamber, a remote plasma chamber, a thermal chamber, a CVD chamber, a physical vapor deposition chamber, a rapid thermal processing chamber, an atomic layer deposition chamber, or an atomic layer etch chamber.

6. The processing system of claim 1, wherein the wafer transfer mechanism is configured to transfer the wafer from the load lock chamber to the first chamber or the second chamber.

7. The processing system of claim 1, wherein the wafer transfer mechanism is configured to transfer the wafer from the first chamber to the second chamber.

8. A method for cleaning a substrate, the method comprising:

introducing a first process gas into the chamber, the first process gas comprising an inert gas and a hydrogen source;

activating the first process gas;

exposing the substrate having a native oxide layer thereon to an activated first process gas;

introducing a second process gas into the chamber, the second process gas comprising Cl2And H2

Activating the second process gas;

exposing the substrate to an activated second process gas;

introducing a third process gas into the chamber, the third process gas comprising the hydrogen source and an arsine source; and

exposing the substrate to the third process gas.

9. The method of claim 8, wherein the first process gas comprises Ar and H2

10. The method of claim 8, wherein the third process gas comprises H2And tert-butyl arsine.

11. The method of claim 8, wherein activating the first process gas and activating the second process gas occur at a source power between about 150W and about 1000W, and wherein activating the first process gas occurs at a bias power between about 10W and about 50W, and activating the second process gas occurs at a bias power between about 0W and about 30W.

12. A method for cleaning a substrate, the method comprising:

introducing a first process gas into the first chamber, the first process gas comprising an inert gas and a hydrogen source;

activating the first process gas;

exposing the substrate having a native oxide layer thereon to an activated first process gas;

second treating gas is introducedIntroducing a gas into the first chamber, the second process gas comprising Cl2And H2

Activating the second process gas;

exposing the substrate to an activated second process gas;

transferring the substrate to a second chamber;

introducing a third process gas into the second chamber, the third process gas comprising the hydrogen source and an arsine source; and

exposing the substrate to the third process gas.

13. The method of claim 12, wherein the first process gas comprises Ar and H2

14. The method of claim 12, wherein the third process gas comprises H2And tert-butyl arsine.

15. The method of claim 12, wherein the temperature of the second chamber is between about 300 ℃ and about 800 ℃.

16. The method of claim 12, wherein the pressure in the first chamber is between about 5mT and about 100mT and the pressure in the second chamber is between about 10T and about 600T.

17. A method for manufacturing a substrate, the method comprising:

introducing a first process gas into the first chamber, the first process gas comprising Ar and H2

Activating the first process gas;

exposing the substrate having a native oxide layer thereon to an activated first process gas;

introducing a second process gas into the first chamber, the second process gas comprising Cl2And H2

Activating the second process gas;

exposing the substrate to an activated second process gas;

transferring the substrate to a second chamber;

introducing a third process gas into the second chamber, the third process gas comprising H2And tert-butyl arsine;

exposing the substrate to the third process gas; and

depositing an epitaxial material over a surface of the substrate.

18. The method of claim 17, wherein the first chamber is an etch chamber and the second chamber is a deposition chamber.

19. The method of claim 17, wherein the epitaxial material is a III-V material.

20. The method of claim 17, wherein the epitaxial material is InAs.

Technical Field

Embodiments of the present disclosure generally relate to the fabrication of semiconductor devices. More specifically, improved methods and solutions are described for cleaning a substrate surface prior to epitaxial growth.

Background

Epitaxial growth is widely used in the manufacture of semiconductor devices, display devices, and other devices. Prior to depositing an epitaxial layer on a substrate, a surface cleaning process is performed to remove native oxide and/or other impurities from the deposition surface and improve the quality of the epitaxial layer formed.

The deposition of group III-V elements may be advantageous in certain applications of silicon-based devices. For example, due to low contact resistance, excellent electron mobility, and lower operating voltage, group III-V elements may be used as channel or fin (fin) materials for sub-7 nanometer (nm) Complementary Metal Oxide Semiconductor (CMOS) devices. However, there are major challenges for growing group III-V materials on group III-V, such as lattice mismatch, valence difference, thermal property difference, conductivity difference, and anti-phase defect (anti-phase defect).

Current wet or dry cleaning processes may not be suitable for reliable fabrication of next generation devices with III-V materials, such as InP, InAs, GaAs, and InGaAs, because these wet or dry cleaning processes are high power, high temperature (> 600 ℃) processes. Furthermore, these wet or dry cleaning processes are not suitable for cleaning materials within very small features (< 7nm), and they produce damaged surface layers.

Accordingly, there is a need in the art for improved methods and solutions for cleaning InGaAs or III-V substrates prior to epitaxial growth of III-V channel materials.

Disclosure of Invention

Embodiments described herein generally provide methods of cleaning a substrate surface. The method includes positioning a substrate having a native oxide layer thereon on a support in a chamber. A first process gas may be introduced into the chamber, the first process gas including an inert gas (noble gas) and a hydrogen source. The first process gas may be activated. A native oxide layer of a substrate may be contacted with an activated first process gas to activate the native oxide layer or partially remove the native oxide layer. After activating the native oxide layer or partially removing the native oxide layer, a second process gas (Ar/Cl) may be introduced2/H2) Is introduced into the chamber. The second process gas may be activated. The substrate may be contacted with a second process gas to produce a reactive surface layer. A third process gas may be introduced into the chamber, the third process gas including a hydrogen source and an arsine (arsine) source. Finally, the substrate may be contacted with a third process gas to remove the reactive surface layer.

In another embodiment, a method of cleaning a surface of a substrate is provided. The method includes positioning a substrate having a native oxide layer thereon on a support in a first chamber. A first process gas may be introduced into the first chamber, the first process gas including an inert gas and a hydrogen source. The first process gas may be activated. A native oxide layer of a substrate may be contacted with an activated first process gas to activate the native oxide layer or partially remove the native oxide layer. After activating the native oxide layer or partially removing the native oxide layer, a second process gas (Ar/Cl) may be introduced2/H2) Is introduced into the first chamber. The second process gas may be activated. The substrate may be contacted with a second process gas to produce a reactive surface layer. Can be combined withThe substrate is transferred to the second chamber. A third process gas may be introduced into the second chamber, the third process gas including a hydrogen source and an arsine source. Finally, the substrate may be contacted with a third process gas to remove the reactive surface layer.

In yet another embodiment, a method of fabricating a substrate is provided. The method includes positioning a substrate having a native oxide layer thereon on a support in a first chamber. The first process gas (Ar/H) may be introduced2) Is introduced into the first chamber. The first process gas may be ionized. A native oxide layer of a substrate may be contacted with an activated first process gas to activate the native oxide layer or partially remove the native oxide layer. After activating the native oxide layer or partially removing the native oxide layer, a second process gas (Ar/Cl) may be introduced2/H2) Is introduced into the first chamber. The second process gas may be activated. The substrate may be contacted with a second process gas to produce a reactive surface layer. The substrate may be transferred to the second chamber. A third process gas (H) may be introduced2T-butyl arsine (TBA)) is introduced into the second chamber. The substrate may be contacted with a third process gas to remove the reactive surface layer. Finally, a III-V channel material may be deposited over the substrate surface.

Drawings

So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective embodiments.

FIG. 1 is a flow chart summarizing a method according to one embodiment described herein.

Fig. 2A-2C depict schematic cross-sectional side views of stages in the manufacture of a device structure according to the method of fig. 1.

Fig. 3 is a schematic diagram of an apparatus for performing a method according to one embodiment described herein.

To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.

Detailed Description

Embodiments described herein generally relate to methods and solutions for cleaning a substrate surface prior to epitaxial growth of a III-V channel material. Exemplary substrates for use in the method include InGaAs substrates. Plasma dry cleaning and thermal processing at lower temperatures are used to manage variations in substrate surface contamination and roughness. A substrate is placed in a first processing chamber. A first precursor is flowed into a first processing chamber and activated with low energy and power to create reactive sites on a substrate surface. The low energy plasma then reacts with the substrate surface to create a reactive layer on the substrate surface. The substrate is then transferred into a second processing chamber having a low temperature. A second precursor is injected into the second processing chamber, removing the reactive layer and leaving a very clean substrate surface ready for epitaxial growth of III-V channel material. The first processing chamber may be an etch chamber and the second processing chamber may be an epitaxial deposition chamber.

Fig. 1 is a flow chart summarizing a method 100 for cleaning a substrate surface according to one embodiment described herein. Exemplary substrates of the method 100 include InGaAs (or III-V) substrates. Fig. 2A-2C depict schematic cross-sectional side views of stages in the manufacture of a device structure in accordance with the method 100 of fig. 1. The method 100 is described below in terms of stages in the manufacture of the device structure depicted in fig. 2A-2C.

At operation 102, a substrate 222 is placed in a first chamber. As shown in fig. 2A, prior to operation 102, a recess (stress) made of a dielectric material 224 is formed in a substrate 222 and a buffer material 226 is deposited in the recess. There may be a native oxide layer 228 over the buffer material 226. The substrate 222 may be part of a device, such as a CMOS device having critical dimensions down to 3nm (e.g., 5nm or 7 nm). Other devices such as fin field effect transistors (finfets) or the like may be used with the inventive methods provided herein.

The first processing chamber is a plasma processing chamber. In one embodiment, the first processing chamber is an etch chamber. In another embodiment, the first processing chamber is a vapor deposition chamber. The etch chamber may be a commercially available process chamber, such as AdvantEdge available from applied materials, Inc. of Santa Clara, CalifTMMesaTMA hardware configuration, or any suitable semiconductor processing chamber suitable for performing an epitaxial deposition process.

The substrate 222 may be a silicon-containing substrate. The substrate may further include germanium (Ge), carbon (C), boron (B), phosphorous (P), or other known elements that may be co-grown, doped, and/or associated with silicon materials. The recess-forming dielectric material 224 may include one or more of the following: silicon oxide (SiO), silicon dioxide (SiO)2) Silicon nitride (SiN), silicon oxynitride (SiON), or other suitable materials that may be used to form the dielectric material. The dielectric material 224 may be deposited by various deposition processes. For example, the dielectric material 224 may be deposited by a Chemical Vapor Deposition (CVD) process, which may be plasma enhanced. The desired recess features may be achieved by patterning the dielectric material, for example using an etching process, to form recesses formed in the dielectric material 224. Suitable etching methods include, but are not limited to, anisotropic dry etching (anisotropic dry etching) or in-situ dry cleaning processes.

The buffer material 226 may include one or more III-V elements. In one embodiment, the buffer material 226 comprises InGaAs. Sometimes, a native oxide layer 228 is formed on the surface of the buffer material 226. The native oxide layer 228 includes oxides (and sub-oxides) of indium (In), gallium (Ga), and arsenic (As). For example, the native oxide layer may comprise indium oxide (In)2O3) Gallium oxide (Ga)2O3) Arsenic trioxide (As)2O3) Or arsenic (As) oxide2O5)。

At operation 104, a first process gas is introducedA gas is introduced into the first chamber, the first process gas including an inert gas, such as argon (Ar), and a hydrogen source gas, such as hydrogen (H)2) Or methane). In one embodiment, the first process gas may be Ar/H2. The first process gas is continuously flowed into the chamber. In another embodiment, RF power, which may be pulsed, is coupled into the first process gas for activation. A hydrogen source gas (H in one embodiment) into the first chamber2) At a flow rate of between about 5sccm and about 300 sccm. The flow rate of the inert gas (Ar in one embodiment) into the first chamber is between about 100sccm and about 1200 sccm. The first process gas may be introduced by introducing an inert gas at a first flow rate followed by introducing a hydrogen source gas at a second flow rate, or by introducing a hydrogen source gas at a second flow rate followed by introducing an inert gas at a first flow rate. The flow rate of the hydrogen source gas may be ramped up (ramp) to a second flow rate, and the flow rate of the inert gas may be ramped up to a first flow rate. The first process gas may also be introduced by simultaneously introducing an inert gas and a hydrogen source gas as a mixture. The first process gas is provided at a total flow rate between 100sccm and 1500sccm and the flow rate of the first process gas can be increased to the total flow rate.

At operation 106, the first process gas is activated. A target pressure of about 20mT was established prior to activation. During this process, the chamber pressure is between about 5mT and about 100mT, and the temperature within the chamber is between about 30 ℃ and about 120 ℃. The temperature is controlled by heating the substrate at about 50 ℃ and heating the reactive surface at 65 ℃. A low Radio Frequency (RF) source power and a bias power are applied to the chamber and coupled to the first process gas to activate the first process gas to generate ions and radicals in some cases. The source power may be between about 150W and about 1000W. The bias power may be between about 10W and about 50W. In operation, the Ar ions break In-O, Ga-O and As-O bonds, thereby creating reactive sites. The hydrogen radicals react with the O atoms. Accordingly, at operation 108, the native oxide layer 228 is removed, as shown in fig. 2B.

In operationAt 110, a second process gas (Ar/Cl) is introduced2/H2) Is introduced into the first chamber. RF power, which may be pulsed, is coupled into the second process gas for activation. The pulse frequency range may be between about 1KHz and about 10 KHz. H into the first chamber2At a flow rate of between about 0sccm and about 300 sccm. Cl into the first chamber2At a flow rate of between about 5sccm and about 300 sccm. The flow rate of Ar into the first chamber is between about 100sccm and about 1200 sccm.

At operation 112, the second process gas is activated. During this process, the chamber pressure is between about 5mT and about 100mT, and the temperature within the chamber is between about 30 ℃ and about 120 ℃. A low Radio Frequency (RF) source power and a bias power are applied to the chamber. The source power is between about 150W and about 1000W. The bias power is between about 0W and about 30W.

At operation 114, the substrate 222 is contacted with a second process gas to produce a reactive surface layer. In operation, low energy Ar/Cl2/H2The second process gas reacts with the wafer surface (InGaAs in one embodiment) to create a reactive surface layer. Specifically, the reactive surface layer includes highly reactive, unbound hydride molecules and chloride molecules.

At operation 116, the substrate 222 is transferred to the second chamber. The second chamber is a deposition chamber. The deposition chamber can be a commercially available processing chamber, such as those available from applied materials, Inc. of Santa Clara, CalifAn RP EPI reactor, or any suitable semiconductor processing chamber suitable for performing an epitaxial deposition process. At operation 117, a third process gas is introduced into the chamber, the third process gas including a hydrogen source and an arsine source. In one embodiment, the third process gas comprises H2And tert-butyl arsine (TBA). The second chamber has a pressure between about 10T and about 600T, and a temperature between about 300 ℃ and about 800 ℃. In a preferred embodiment, the chamber temperature is less than or equal to 550 ℃.

In operationAt 118, the substrate 222 is contacted with a third process gas to remove the reactive surface layer. In operation, the third process gas is introduced into the second chamber for only a short period of time. For example, the process gas is introduced into the chamber for between about 15 seconds and about 300 seconds. During this short time period, H2Reacts rapidly with the TBA and removes the reactive surface layer, leaving a clean buffer layer (InGaAs in one embodiment) surface.

At the end of the method 100, as shown in fig. 2C, the channel material 230 may be epitaxially grown over the substrate surface, in particular over the buffer material 226. The channel material 230 may include any combination of at least a group III element and a group V element. In one embodiment, the channel material 230 includes indium gallium arsenide (InGaAs). In another embodiment, the channel material 230 may include aluminum gallium arsenide (AlGaAs), indium arsenide (InAs), gallium antimonide (GaSb), or indium antimonide (InSb). In further embodiments, the channel material 230 may include a III-V material having high electron mobility and an excellent crystalline (crystallographic) structure. As a result of the surface preparation process of method 100, channel material 230 has a very low defect concentration.

FIG. 1 illustrates one embodiment of a method for cleaning a substrate. In another embodiment, the operations of the method 100 may occur in a single chamber. As noted above, fig. 2A-2C depict schematic cross-sectional side views of an apparatus having grooves at various stages of the method 100. Alternatively, fig. 2A-2C may depict schematic cross-sectional side views of devices having features, which may be trenches (trenches), contact holes, or other types of features.

The methods disclosed herein may be performed in a single chamber or in multiple chambers of a single apparatus. Fig. 3 is a schematic diagram of an apparatus 340 for performing a method according to one embodiment described herein. More specifically, the apparatus 340 is a cluster tool for manufacturing semiconductor devices according to the above-described method. The central portion of the apparatus 340 is a transfer chamber 342. Within transfer chamber 342 is a wafer transfer mechanism 344. The wafer transfer mechanism 344 transfers the wafer from the first chamber 350 or the second chamber 352To a load lock chamber 346 and vice versa. The first chamber 350 and the second chamber 352 are connected to the transfer chamber 342. The load lock chamber 346 is connected to the transfer chamber 342 via a wafer alignment chamber 348. In a preferred embodiment, the first chamber 350 is an etch chamber and the second chamber 352 is a deposition chamber. The etch chamber may be a commercially available process chamber, such as AdvantEdge available from applied materials, Inc. of Santa Clara, CalifTMMesaTMA hardware configuration, or any suitable semiconductor processing chamber suitable for performing an epitaxial deposition process. The deposition chamber can be a commercially available processing chamber, such as those available from applied materials, Inc. of Santa Clara, Calif

Figure BDA0002193918180000071

An RP Epi reactor, or any suitable semiconductor processing chamber suitable for performing an epitaxial deposition process.

As shown in fig. 2A, the method 100 begins at operation 102 by disposing the substrate 222 in the first chamber 350. As described in operations 104 and 106, a first process gas is introduced into the first chamber 350 where the first process gas is ionized. As explained in operation 108 and shown in fig. 2B, the first process gas contacts the native oxide layer 228 of the substrate 222 and actively or partially removes the native oxide layer 228. As described in operations 110 and 112, a second process gas is introduced into the first chamber 350 where the second process gas is ionized. As explained in operation 116, the second process gas contacts the substrate 222 and creates a reactive surface layer. The substrate 222 is then transferred from the first chamber 350 to the second chamber 352 via the wafer transfer mechanism 344 as described in operation 116. As described in operations 117 and 118 and shown in fig. 2C, a third process gas is introduced into the second chamber where it contacts the substrate to remove the reactive surface layer.

The use of a single apparatus 340 containing both process chamber 350 and process chamber 352 allows the stages of the method of fig. 1 to occur without breaking vacuum.

Although fig. 3 depicts one example of an apparatus having two processing chambers for performing the methods described herein, other apparatus and chamber configurations for performing the methods are contemplated herein. For example, more than two processing chambers may be attached to the transfer chamber 342 of the apparatus 340. Apparatus 340 may further include one or more of the following chambers disposed in any order with respect to the position of transfer chamber 342: a deposition chamber, an etch chamber, a cleaning chamber, an annealing chamber, an oxidation chamber, a plasma chamber, a remote plasma chamber, a thermal chamber, a CVD chamber, a Physical Vapor Deposition (PVD) chamber, a Plasma Enhanced Chemical Vapor Deposition (PECVD) chamber, a Rapid Thermal Processing (RTP) chamber, an Atomic Layer Deposition (ALD) chamber, or an Atomic Layer Etch (ALE) chamber.

Accordingly, methods and solutions are provided for cleaning a substrate prior to epitaxial growth of a III-V channel material. The disclosed cleaning prior to epitaxial growth facilitates highly selective epitaxial growth of group III-V materials on InGaAs substrates in sub-7 nm CMOS devices. Advantages of the present disclosure include reducing the oxygen content on the substrate surface to less than 5.0E +11 atoms/cm2Without compromising surface smoothness.

While the foregoing is directed to embodiments of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

12页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:一种加热器制备模具及加热器制备方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类