Method for fabricating integrated circuit structure

文档序号:1688452 发布日期:2020-01-03 浏览:11次 中文

阅读说明:本技术 制作集成电路结构的方法 (Method for fabricating integrated circuit structure ) 是由 詹易叡 林含谕 林立德 林斌彦 于 2019-04-23 设计创作,主要内容包括:本发明实施例涉及制作集成电路结构的方法。方法包括形成栅极间隔物于半导体基板上的虚置栅极结构的多个侧壁上;对栅极间隔物进行第一布植工艺,其中第一布植工艺包括以硅原子轰击栅极间隔物的上侧部分;在进行第一布植工艺之后,对栅极间隔物的上侧部分进行第二布植工艺,其中第二布植工艺包括以碳原子轰击栅极间隔物的上侧部分;以及在进行第二布植工艺之后,将虚置栅极结构取代为高介电常数的栅极介电层与金属栅极结构,其中将虚置栅极结构取代为高介电常数的栅极介电层与金属栅极结构的步骤包括形成层间介电层。(Embodiments of the invention relate to methods of fabricating integrated circuit structures. The method comprises forming gate spacers on sidewalls of a dummy gate structure on a semiconductor substrate; performing a first implantation process on the gate spacer, wherein the first implantation process comprises bombarding an upper portion of the gate spacer with silicon atoms; performing a second implantation process on the upper portion of the gate spacer after performing the first implantation process, wherein the second implantation process comprises bombarding the upper portion of the gate spacer with carbon atoms; and replacing the dummy gate structure with the high-k gate dielectric layer and the metal gate structure after the second implantation process, wherein the step of replacing the dummy gate structure with the high-k gate dielectric layer and the metal gate structure comprises forming an interlayer dielectric layer.)

1. A method of fabricating an integrated circuit structure, comprising:

forming a gate spacer on sidewalls of a dummy gate structure on a semiconductor substrate;

performing a first implantation process on the gate spacer, wherein the first implantation process comprises bombarding an upper portion of the gate spacer with silicon atoms;

performing a second implantation process on the upper portion of the gate spacer after performing the first implantation process, wherein the second implantation process comprises bombarding the upper portion of the gate spacer with carbon atoms; and

after the second implantation, the dummy gate structure is replaced with a high-k gate dielectric layer and metal gate structure, wherein the step of replacing the dummy gate structure with the high-k gate dielectric layer and metal gate structure comprises forming an interlayer dielectric layer.

Technical Field

Embodiments of the present invention generally relate to semiconductor devices, and more particularly, to field effect transistors such as planar field effect transistors or three-dimensional fin field effect transistors.

Background

The semiconductor integrated circuit industry has experienced exponential growth. Technological advances in integrated circuit materials and design have resulted in each generation of integrated circuits having smaller and more complex circuits than previous generations of integrated circuits. In the evolution of integrated circuits, the functional density (e.g., the number of interconnect devices per unit chip area) generally increases as the geometries (e.g., the smallest features or lines that can be created by a process) shrink. The process of scaling down is generally advantageous in increasing throughput and reducing associated costs. However, the shrinking dimensions also increase the process complexity of processing and forming integrated circuits.

For example, as the dimensions of structures shrink, the process of forming an interlayer dielectric layer on source/drain structures in integrated circuit devices often adversely affects the structure and performance of other portions of the same integrated circuit device, such as nearby gate spacers designed to protect the metal gate structure. Although some methods have been used to reduce these injuries, these methods do not fully meet all needs.

Disclosure of Invention

The method for manufacturing the integrated circuit structure provided by the embodiment of the invention comprises the following steps: forming gate spacers on sidewalls of the dummy gate structure on the semiconductor substrate; performing a first implantation process on the gate spacer, wherein the first implantation process comprises bombarding an upper portion of the gate spacer with silicon atoms; performing a second implantation process on the upper portion of the gate spacer after performing the first implantation process, wherein the second implantation process comprises bombarding the upper portion of the gate spacer with carbon atoms; and replacing the dummy gate structure with a high-k gate dielectric layer and a metal gate structure after performing a second implantation process, wherein the step of replacing the dummy gate structure with the high-k gate dielectric layer and the metal gate structure comprises forming an interlayer dielectric layer.

The method provided by one embodiment of the invention comprises the following steps: forming a semiconductor structure including a dummy gate structure, a gate spacer on a sidewall of the dummy gate structure, and a source/drain structure adjacent to the dummy gate structure; implanting a silicon-based implant into an upper portion of the gate spacer to form a silicon-rich layer; implanting carbon-based ions into the silicon-rich layer to form a carbon-rich and silicon-rich layer; depositing an interlayer dielectric layer on the source/drain structure and the gate spacer, wherein the interlayer dielectric layer comprises silicon oxide; after depositing the dielectric layer between layers, form the grid dielectric layer and metal grid structure of the high dielectric constant in order to replace the structure of the fictitious grid; and after forming the gate dielectric layer and the metal gate structure with high dielectric constant, making the interlayer dielectric layer recessed.

The method provided by one embodiment of the invention comprises the following steps: implanting silicon atoms into the gate spacer on the sidewalls of the dummy gate structure to form a silicon-rich layer on an upper portion of the gate spacer, wherein the dummy gate structure is adjacent to the source/drain structure; implanting carbon atoms into the silicon-rich layer to form a carbon-rich and silicon-rich layer; forming a high-k gate dielectric layer and a metal gate structure to replace the dummy gate structure, including depositing an interlayer dielectric layer comprising less carbon than the carbon-rich silicon layer of the gate spacer; recessing the interlayer dielectric layer to form a trench on the source/drain structure; and forming a dielectric layer in the trench.

Drawings

Fig. 1 is a flow chart of a method of fabricating a semiconductor device in various embodiments of the present invention.

Fig. 2A is a perspective view of a semiconductor device in various embodiments of the invention.

Fig. 2B is a partial plan top view of a semiconductor device in various embodiments of the present invention.

Fig. 3, 4, 5, 6, 7, 8, 9, 10, 11A, 11B, and 12 are cross-sectional views of the semiconductor device of fig. 2A and 2B along dashed line AA' at an intermediate step of the method of fig. 1, in various embodiments of the present invention.

Description of reference numerals:

dotted line AA

hg、hi、hsHeight

100 method

102. 104, 106, 108, 110, 112, 114, 116

200 device

202 substrate

204 fins

208 isolation structure

210 dummy gate structure

212 hard mask layer

214 source/drain structure

220. 222 gate spacer layer

224 contact etch stop layer

230. 240 implantation process

232 silicon rich layer

234. 236 degree

242 carbon and silicon rich layer

250. 280 interlayer dielectric layer

260 high-k gate dielectric layer and metal gate structure

262 grid electrode

264 high dielectric constant dielectric layer

270 groove

272 dielectric layer

282 gate contact

284 source/drain contacts

Detailed Description

The different embodiments or examples provided below may implement different configurations of the present invention. The specific components and arrangements are disclosed to simplify the present disclosure and not to limit the present disclosure. For example, the formation of a first element on a second element is described as including direct or physical contact between the two elements, or the separation of additional elements other than direct contact between the two elements. Moreover, the various examples of the present disclosure may be repeated with reference numbers, but such repetition is merely intended to simplify and clarify the description and does not imply that there is a similar correspondence between elements having the same reference numbers in different embodiments and/or arrangements.

In addition, the structures of the embodiments of the present invention are formed on, connected to, and/or coupled to another structure, and the structures may directly contact the other structure, or additional structures may be formed between the structures and the other structure. Furthermore, spatially relative terms such as "below," "lower," "above," "upper," or the like may be used for ease of description to refer to a relationship of one element to another in the figures. Spatially relative terms may be extended to elements used in other orientations than the orientation illustrated. Further, when a value or range of values is described as "about", "approximately", or the like, it includes +/-10% of the stated value, unless otherwise specified. For example, the term "about 5 nm" includes a size range between 4.5nm to 5.5 nm.

Embodiments of the present invention generally relate to semiconductor devices, and more particularly, to field effect transistors such as planar field effect transistors or three-dimensional fin field effect transistors.

In field effect transistors, gate spacers are located on sidewalls of gate structures (e.g., high-k gate dielectric layers and metal gate structures), and an interlayer dielectric layer located on the source/drain structures is typically adjacent to the gate spacers, so that processing one of them may adversely affect the structure and performance of the other. For example, after the gate replacement process, the interlayer dielectric layer may be etched back for subsequent processes of the field effect transistor (e.g., forming a gate contact). During such an etching process, as the structure size of the gate spacer is reduced and closely adjacent to the surrounding gate spacer, portions of the gate spacer may be inadvertently damaged (e.g., become smaller) and cause shorting problems between the gate structure and other conductive components of the field effect transistor (e.g., subsequently formed source/drain contacts). For these and other reasons, there is a need for an improved process for fabricating field effect transistors that reduces the etching of the interlevel dielectric layer over the gate spacers.

Fig. 1 shows a flow diagram of a method 100 of forming a semiconductor device 200 in various embodiments of the invention. The method 100 is provided by way of example only and is not intended to limit embodiments of the invention to the practically unlimited portions of the claims. Additional steps may be performed before, during, and after the method 100, and additional embodiments of the method 100 may replace, omit, or swap some steps. The method 100 is described below with reference to fig. 2A-12, which show portions of the semiconductor device 200 during the method 100. Fig. 3-12 are cross-sectional views of the device 200 taken along the dashed line AA' in fig. 2A and 2B at intermediate steps of the method 100. The device 200 may be an intermediate device or portion thereof in the fabrication of an integrated circuit, which may include static random access memory and/or other logic circuitry, passive components (e.g., resistors, capacitors, or inductors), or active components such as p-type field effect transistors, n-type field effect transistors, fin field effect transistors, metal oxide semiconductor field effect transistors, complementary metal oxide semiconductor transistors, bipolar transistors, high voltage transistors, high frequency transistors, and/or other memories. Embodiments of the present invention are not limited to any particular number of devices or device regions, or to any particular device arrangement. For example, although the device 200 of the figures is a three-dimensional finfet device, embodiments of the present invention may also be used to fabricate planar finfet devices.

As shown in fig. 1, 2A, and 2B, step 102 of method 100 forms device 200 including a substrate 202 having a semiconductor layer (e.g., an active region such as a three-dimensional fin, hereinafter referred to as fin 204) formed thereon, a dummy gate structure 210 formed on the semiconductor layer such as fin 204, an isolation structure 208 formed on substrate 202 to separate various components of device 200, and a source/drain structure 214 formed on fin 204 and sandwiched between adjacent dummy gate structures 210, as shown in the top view of fig. 2B. As described herein, the device 200 may include a plurality of fins 204 lengthwise along the X-direction and a plurality of dummy gate structures 210 lengthwise along the Y-direction and perpendicular to the fins 204. In many embodiments, the device 200 includes additional structures such as gate spacers along the dummy gate structure 210, a hard mask layer on the dummy gate structure 210, and a plurality of other structures. For simplicity, an intermediate step of the method 100 is illustrated in cross-section (fig. 3-12) of the collocation apparatus 200, along the length of the fin 204 (as indicated by dashed line AA' in the x-direction).

The substrate 202 may include a semiconductor element (single element) such as silicon, germanium, and/or other suitable materials, a semiconductor compound such as silicon carbide, gallium arsenide, gallium phosphide, indium arsenide, indium antimonide, and/or other suitable materials, or a semiconductor alloy such as silicon germanium, gallium arsenide phosphide, aluminum indium arsenide, aluminum gallium arsenide, gallium indium phosphide, and/or other suitable materials. The substrate 202 may be a single layer of material having a uniform composition. In other embodiments, the substrate 202 may comprise a plurality of material layers of similar or different compositions suitable for forming integrated circuit devices. In one example, the substrate 202 can be a silicon-on-insulator substrate having a semiconductor silicon layer formed on a silicon oxide layer. In another example, the substrate 202 may include conductive layers, semiconductor layers, dielectric layers, other layers, or a combination thereof.

In some embodiments, the substrate 202 includes field effect transistors and various doped regions, such as source/drain regions, formed therein or thereon. The doped region may be doped with an n-type dopant such as phosphorus or arsenic, and/or a p-type dopant such as boron or boron difluoride, depending on design requirements. The doped regions may be formed directly on the substrate 202, in a p-type structure, in an n-type structure, in a twin-well structure, or in a raised structure. The doped regions may be formed by implanting dopant atoms, in-situ doped epitaxial growth, and/or other suitable techniques.

As shown in fig. 2A and 2B, device 200 may provide one or more n-type field effect transistor devices and/or one or more p-type field effect transistor devices. The fins 204 may be formed by any suitable process, such as photolithography and etching. The photolithography process may include forming a photoresist layer (not shown) on the substrate 202, exposing the photoresist layer in a pattern, performing a post-exposure bake process, and developing the photoresist to form a mask unit (not shown) containing the photoresist. A masking unit is then used to etch recesses into the substrate 202, leaving the fins 204 on the substrate 202. The etching process may comprise dry etching, wet etching, reactive ion etching, other suitable processes, or combinations thereof.

Various other embodiments of the method used to form fins 204 are also suitable. For example, the method of patterning the fins 204 may employ a double patterning or a multiple patterning process. In general, double patterning or multiple patterning processes combine photolithography and self-aligned processes that produce a pattern pitch that is smaller than that obtained using a single direct light micro-process. For example, one embodiment forms a sacrificial layer on a substrate and patterns the sacrificial layer using a photolithography process. A self-aligned process is employed to form spacers along the sides of the patterned sacrificial layer. The sacrificial layer is then removed and the fin is patterned with the remaining spacers or cores.

The isolation structure 208 may comprise silicon oxide, silicon nitride, silicon oxynitride, fluorine-doped silicate glass, a low-k dielectric material, and/or other suitable materials. The isolation structure 208 may comprise a shallow trench isolation structure. In one embodiment, isolation structure 208 is formed by etching a trench in substrate 202 while forming fin 204. The isolation material can be filled into the trench and then a chemical mechanical polishing process is performed. Other isolation structures such as field oxide, local silicon oxide, and/or other suitable structures may also be implemented as the isolation structures 208. In other embodiments, the isolation structure 208 may include a multi-layer structure, such as having one or more thermal oxide liner layers.

Next, as shown in fig. 1, 2A, and 3, step 102 of method 100 forms dummy gate structures 210 that are bonded to three sides of the channel region of each fin 204. In at least one embodiment, after forming other components of the device 200, portions of each dummy gate structure 210 may be replaced with a high-k gate dielectric layer and a metal gate structure. Each dummy gate structure 210 may include one or more material layers such as an interfacial layer, a dummy gate dielectric layer, a dummy gate comprising polysilicon, a hard mask layer, a cap layer, other suitable layers, or combinations thereof. In the illustrated embodiment, a hard mask layer 212 is formed over the dummy gate structure 210. In some embodiments, the hard mask layer 212 is configured to protect the dummy gate structures from subsequent steps of the method 100, and the hard mask layer 212 may be removed later in the process. The hard mask layer 212 may comprise any suitable dielectric material, such as a nitrogen-containing dielectric material, an oxygen-containing dielectric material, other suitable materials, or combinations thereof. The hard mask layer 212 and the various material layers included in the dummy gate structure 210 may be formed by any suitable method, such as chemical oxidation, thermal oxidation, atomic layer deposition, chemical vapor deposition, physical vapor deposition, low pressure chemical vapor deposition, plasma enhanced chemical vapor deposition, high density plasma chemical vapor deposition, metal organic chemical vapor deposition, remote plasma chemical vapor deposition, atomic layer chemical vapor deposition, atmospheric pressure chemical vapor deposition, other suitable methods, or combinations thereof. In one embodiment, dummy gate structures 210, such as blanket layers, are deposited. The blanket layer is then patterned with a series of photolithography and etching processes, portions of the blanket layer may be removed, and other portions of the blanket layer may remain on isolation structure 208 and fin 204 as dummy gate structure 210.

Step 102 of the method 100 then forms gate spacer layers 220 and 222 on the sidewalls of the dummy gate structure 210. Each of the gate spacer layers 220 and 222 may be a single layer structure or a multi-layer structure. In the illustrated embodiment, device 200 includes two gate spacer layers, although embodiments of the invention are not limited to this arrangement. For example, the device may include only gate spacer layer 222, or one or more additional gate spacer layers in addition to gate spacer layers 220 and 222. In many embodiments, the gate spacer layer 222 comprises silicon, oxygen, nitrogen, and carbon. In a further embodiment, the gate spacer layer 222 comprises about 70 atomic% to about 95 atomic% silicon oxide, and about 0 to about 5 atomic% carbon. In some embodiments, the gate spacer layer 222 also comprises about 5 atomic% to about 30 atomic% silicon nitride. In one example, the gate spacer layer is substantially free of carbon (e.g., less than about 1 atomic% carbon). In some embodiments, gate spacer layer 222 comprises at least the same amount of silicon and oxygen as the subsequently formed interlayer dielectric layer (e.g., interlayer dielectric layer 250). In the illustrated embodiment, gate spacer layers 220 and 222 comprise a dielectric material comprising silicon, oxygen, carbon, and nitrogen. However, the amount of carbon contained in gate spacer layer 222 is lower than the amount of carbon contained in gate spacer layer 220. In other words, the amount of silicon and oxygen contained in the gate spacer layer 222 is greater than the amount of silicon and oxygen contained in the gate spacer layer 220. As described below, since the ild layer 250 substantially comprises silicon and oxygen (in the form of silicon oxide, in a total amount greater than about 99 wt%), the etch selectivity between the gate spacer layer 220 and the ild layer 250 for a given etchant (e.g., hydrofluoric acid and/or ammonia) is greater than the etch selectivity between the gate spacer layer 222 and the ild layer 250. In other words, the step of etching the interlayer dielectric layer 250 tends to etch a portion of the gate spacer layer 222 rather than the gate spacer layer 220, which could inadvertently damage the gate spacer layer 222.

The method 100 may first conformally deposit a spacer material on the device 200 by chemical vapor deposition, physical vapor deposition, atomic layer deposition, other suitable methods, or a combination thereof, and then perform an anisotropic etch process to remove portions of the spacer material to form the gate spacer layer 220 on the sidewalls of the dummy gate structure 210. A similar process is then used to form gate spacer layer 222.

As shown in fig. 1, 2A, and 3, step 102 of method 100 continues by forming source/drain structure 214 in fin 204, with source/drain structure 214 adjacent to dummy gate structure 210. The source/drain structures 214 may be formed by any suitable technique, such as an etching process followed by one or more epitaxial processes. In one example, one or more etching processes may be performed to remove portions of fins 204 to form recesses (not shown) therein, respectively. A cleaning process may be performed to clean the recesses using a hydrofluoric acid solution or other suitable solution. One or more epitaxial growth processes are then performed to grow an epitaxial structure in the recess. Each source/drain structure 214 may be adapted for a p-type finfet (e.g., p-type epitaxial material), or an n-type finfet (e.g., n-type epitaxial material). The p-type epitaxial material may comprise one or more epitaxial layers of silicon germanium, which may be doped with p-type dopants such as boron, germanium, indium, and/or other p-type dopants. The n-type epitaxial material may comprise one or more epitaxial layers of silicon or silicon carbide, which may be doped with n-type dopants such as arsenic, phosphorus, and/or other n-type dopants.

Step 102 of method 100 is followed by forming a contact etch stop layer 224 on device 200, such as by forming contact etch stop layer 224 on hard mask layer 212, gate spacer layers 220 and 222, source/drain structures 214, and isolation structures 208 (not shown in fig. 3-10 to simplify the drawing). The contact etch stop layer 224 may comprise silicon nitride, silicon oxynitride, silicon nitride with oxygen or carbon elements, other materials, or combinations thereof, and may be formed by chemical vapor deposition, physical vapor deposition, atomic layer deposition, other suitable methods, or combinations thereof. In many embodiments, the composition of the contact etch stop layer 224 is different from the composition of the gate spacer layer 222, thereby improving the etch selectivity between the two layers.

As shown in fig. 1 and 4, the device 200 is subjected to an implantation process 230 at step 104 of the method 100. In many embodiments, the implantation process 230 introduces silicon atoms into the upper portion of the gate spacer layer 222 to form the silicon rich layer 232. In other embodiments, the implantation process 230 introduces germanium atoms into the upper portion of the gate spacer layer 222 to form a germanium-rich layer. In one embodiment, the implantation process 230 includes implanting at a concentration of about 3E15 atoms/cm2Bombards the upper portion of the gate spacer layer 222 and the implant energy may be about 2 keV. Embodiments of the invention are of course not limited to this implantation condition. The implantation process 230 may be performed using an angled dose source of a silicon-containing species, such as silicon tetrafluoride. The use of a tilted dose source may ensure that the implantation process is performed on a desired portion of the device 200, such as an upper portion of the gate spacer layer 222. In many embodiments, the angle at which the dose source is tilted may be varied to control the degree of implantation (e.g., silicon atom bombardment), and may be between about 5 degrees and about 15 degrees relative to the Z-direction. The particular value of the angle 234 may be selected depending on the desired depth of implantation. In some instances, the angle 234 is less than about 5 degrees, and the implant depth may be too shallow for subsequent processing. Similarly, if the angle 234 is greater than about 15 degrees, the implantation process may also be too shallow. The implantation depth is set to be deep enough so that the bottom surface of the silicon-rich layer 232 extends below the top surface of the dummy gate structure 210. In one embodiment, the implantation process 230 may utilize an implantation energy of about 2 keV. However, it is not limited toOther energy levels may also be suitable for the purposes of embodiments of the present invention.

In many embodiments, the implantation process 230 is configured to introduce molecules into the structure of the gate spacer layer 222 out of order in preparation for subsequent process steps. In some embodiments, the bombardment of silicon atoms during the implantation process 230 is configured to amorphize the molecular structure of the gate spacer layer 222. In additional or alternative embodiments, the bombardment of silicon atoms is configured to create dangling bonds in the molecular structure of the gate spacer layer 222 during the implantation process 230. For at least these reasons, atoms of similar quality and size to silicon, such as germanium atoms, may also be used for the implantation process 230. As described in more detail below, molecular random and/or dangling bonds may be suitable for subsequent implantation processes to introduce atoms, such as carbon, into the silicon-rich layer 232.

As shown in fig. 1 and 5, the device 200 is subjected to an implantation process 240 at step 106 of the method 100. In many embodiments, the implantation process 240 introduces carbon atoms into the silicon-rich layer 232 in the gate spacer layer 222 to form a carbon-rich and silicon-rich layer 242. The implantation process 240 may be similar to the implantation process 230, and may be performed using a dose source of a carbon-containing species, such as carbon dioxide, tilted at an angle 236. In many embodiments, the angle 236 is substantially similar to the angle 234 and may be between about 5 degrees to about 15 degrees relative to the Z-direction. The implantation process 230 implants silicon atoms to a depth substantially similar to the implantation depth of carbon atoms. In other words, the thickness of carbon-rich silicon layer 242 is similar to the thickness of silicon-rich layer 232. In some examples, the angle 236 may be the angle 234 ± 2 degrees. In one embodiment, the implantation energy used for the implantation process 240 is about 1 keV. However, other energy levels may also be used for the purposes of embodiments of the present invention.

In many embodiments, the implantation process 240 is configured to increase the amount of carbon atoms in the gate spacer layer 222 (e.g., between about 10% and about 15% carbon in the carbon-rich silicon layer 242 and between about 0% and about 5% carbon in the gate spacer layer 222 below the carbon-rich silicon layer 242) and to promote the formation of carbon-silicon bonds in the upper portion of the gate spacer layer 222. In some embodiments, the amount of carbon in the carbon-rich and silicon layer 242 is at least about 10%. As described below, the etch selectivity of the gate spacer layer 222 with carbon above this threshold value is improved relative to the subsequently formed interlayer dielectric layer 250. The carbon-rich silicon bonds contained in silicon layer 242 may help reduce the loss of gate spacer layer 222 during subsequent etching processes, such as a portion of a gate replacement process and/or a process that removes an interlayer dielectric layer. The reason for the above reduction is twofold. First, increasing the amount of carbon atoms allows the gate spacer layer 222 to have a different composition than a subsequently formed interlayer dielectric layer (e.g., the interlayer dielectric layer 250), thereby increasing the etch selectivity between the gate spacer layer 222 and the interlayer dielectric layer 250. For example, the carbon-rich and silicon layer 242 includes a greater amount of carbon than the interlayer dielectric layer 250. As noted above, the gate spacer layer 222 comprises about 70% to about 95% silicon oxide, while the interlevel dielectric layer typically comprises pure silicon oxide (greater than about 99 wt%), as described in more detail below. Furthermore, the formation of the carbon-silicon bond may chemically improve the etch resistance of the gate spacer layer 222 to an etchant (e.g., hydrofluoric acid, ammonia, or the like) used in a dry etching process for etching the interlayer dielectric layer 250. In some examples, implanting nitrogen atoms into gate spacer layer 222 facilitates a different composition of gate spacer layer 222 than the interlevel dielectric layer. However, the gate spacer layer 222 typically includes more nitrogen (e.g., between about 5% and about 30% silicon nitride) than carbon (e.g., between about 0% and about 5%), and thus, the nitrogen atoms may not be implanted as effectively as the carbon atoms (for the same reasons).

In many embodiments, bombarding the gate spacer layer 222 with silicon atoms during the implant process 230 prior to implanting carbon atoms may create dangling silicon bonds in the silicon-rich layer 232, which may increase the amount of carbon during the implant process 240. In additional or other embodiments, the carbon atoms may be accommodated in available vacant sites in the silicon-rich layer 232 that result from the random of molecules introduced to bombard the silicon atoms. Thus, many embodiments of the present invention implant silicon atoms prior to implanting carbon atoms may not achieve the desired result if the order is reversed, as described in more detail below. The overall increase in the atomic weight of carbon may facilitate the formation of carbon-silicon bonds to improve the material properties (e.g., etch selectivity) of the gate spacer layer 222, as well as the etch selectivity of the gate spacer layer 222 relative to the subsequently formed interlayer dielectric layer 250. In some casesIn embodiments, the silicon and carbon dosage levels may be the same or different, but are each at least about 1X 1016Which is a critical dose for forming carbon silicon bonds to improve the etch selectivity of the gate spacer layer 222. In some embodiments, the etch selectivity of the gate spacer layer 222 may be maximized when the dose levels of silicon and carbon are substantially similar to ensure that the silicon atom bombardment produces the maximum number of dangling bonds. Embodiments of the present invention are of course not limited to the specific dosage levels of silicon and carbon described herein.

As shown in fig. 1, 6, and 7, step 108 of method 100 forms an interlayer dielectric layer 250 on device 200. The interlayer dielectric 250 may comprise any suitable dielectric material, such as silicon oxide, an oxide of tetraethoxysilane, an undoped silicate glass, or a doped silicate glass, such as borophosphosilicate glass, fluorine-doped silicate glass, phosphosilicate glass, boron-doped silicate glass, other suitable dielectric materials, or combinations thereof. The interlayer dielectric layer 250 may also comprise a multi-layer structure of multiple dielectric materials, and the formation method may be a deposition process such as chemical vapor deposition, physical vapor deposition, atomic layer deposition, flowable chemical vapor deposition, other suitable methods, or a combination thereof. As shown in fig. 7, after the formation of the interlayer dielectric layer 250, a planarization process, such as chemical mechanical polishing, may be performed to expose the top of the dummy gate structure 210 (e.g., the hard mask layer 212 is removed by chemical mechanical polishing).

In many embodiments of the present invention, the interlayer dielectric layer 250 comprises a dielectric material that includes at least silicon and oxygen (e.g., in the form of silicon oxide), and may further include other elements at a significantly lower concentration than silicon oxide. In other embodiments, the amount of silicon and oxygen included in the interlayer dielectric layer 250 exceeds or is at least equal to the amount of silicon and oxygen in the gate spacer layer 222. In one embodiment, the interlayer dielectric layer 250 comprises pure silicon oxide, wherein the amount of silicon oxide is at least 99 wt%. Thus, if nearby features, such as the gate spacer layer 222, include similar amounts of silicon oxide and/or similar trace amounts of other elements, such as carbon, the etch selectivity between the ild layer 250 and the gate spacer layer 222 with respect to a given etchant, such as hydrofluoric acid and/or ammonia, may be too low to avoid loss of the gate spacer layer 222 during etching of the ild layer 250. For example, the implantation process 240 may increase the amount of carbon (between about 10% and about 15%) as described above to improve the etch selectivity between the ild layer 250 and the gate spacer layer 222 for a given etchant. In summary, embodiments of the present invention provide for improving the etch selectivity of a gate spacer layer adjacent to an interlayer dielectric layer, thereby reducing or minimizing the loss of the gate spacer layer.

As shown in fig. 1 and 8, step 110 of the method 100 replaces at least a portion of the dummy gate structure 210 to form a high-k gate dielectric layer and a metal gate structure 260. In some embodiments, the step of replacing at least a portion of the dummy gate structure 210 includes performing an etching process that selectively removes the dummy gate structure 210 to form a gate trench (not shown). The etching process may be a dry etching process, a wet etching process, reactive ion etching, other suitable methods, or a combination thereof. The dry etching process may employ chlorine-containing gas, fluorine-containing gas, bromine-containing gas, oxygen, other suitable etching gas, or combinations thereof. The wet etching solution may comprise ammonium hydroxide, hydrofluoric acid, dilute hydrofluoric acid, deionized water, tetramethylammonium hydroxide, other suitable wet etching solutions, or combinations thereof. The etching process may be adjusted such that the dummy gate structure 210 has a higher etch rate than other components of the device 200.

Thereafter, as shown in fig. 1 and 8, step 110 of the method 100 forms a high-k gate dielectric layer and a metal gate structure 260 in the gate trench. The high-k gate dielectric layer and the metal gate structure 260 each include at least one high-k dielectric layer 264 having a dielectric constant greater than that of silicon oxide (approximately 3.9) and a gate 262, which may further include at least one work-function metal layer (not shown) and a base conductive layer (not shown). The high-k dielectric layer 264 may comprise hafnium oxide, zirconium oxide, lanthanum oxide, titanium oxide, yttrium oxide, strontium titanate, other suitable metal oxides, or combinations thereof. The work function metal layer may comprise a p-type or n-type work function material such as titanium nitride, tantalum nitride, ruthenium, molybdenum, aluminum, tungsten nitride, zirconium silicide, molybdenum silicide, tantalum silicide, nickel silicide, titanium, silver, tantalum aluminum carbide, titanium aluminum nitride, tantalum carbide, tantalum carbon nitride, tantalum silicon nitride, manganese, zirconium, other suitable materials, or combinations thereof. The base conductive layer may comprise copper, tungsten, aluminum, ruthenium, cobalt, other suitable metals, or combinations thereof. Each of the high-k gate dielectric layer and the metal gate structure 260 may also include a plurality of material layers, such as an interfacial layer, a barrier layer, a hard mask layer, other suitable layers, or a combination thereof. The various material layers of the high-k gate dielectric layer and the metal gate structure 260 may be formed by chemical oxidation, chemical vapor deposition, atomic layer deposition, physical vapor deposition, flowable chemical vapor deposition, electroplating, other suitable methods, or combinations thereof. One or more chemical mechanical polishing processes may then be performed to remove excess material from the high-k gate dielectric layer and the metal gate structure 260 and planarize the top surface of the device 200.

As shown in fig. 1 and 9, step 112 of method 100 recesses portions of the ild layer 250 to form trenches 270. The method of etching the interlayer dielectric layer 250 may be any suitable process, such as a dry etch process, a wet etch process, a reactive ion etch, or other suitable etch process. In some embodiments, the dry etching process employs one or more suitable etchants, such as a fluorine-containing etchant (e.g., hydrofluoric acid), a nitrogen-containing etchant (e.g., ammonia), other suitable etchants, or combinations thereof. In many embodiments, the etch process of step 112 may selectively remove portions of the interlayer dielectric layer 250 with respect to the contact etch stop layer 224, the gate spacer layers 220 and 222, the carbon-rich and silicon layer 242, and the high-k gate dielectric layer and metal gate structure 260 (with minimal or no removal). In other words, the etch rate of the interlayer dielectric layer is higher than the etch rate of the other components of the device 200. In some embodiments, the interlayer dielectric layer 250 is completely removed from the device 200, thereby exposing the contact etch stop layer 224 in the trench 270. It is noted that since the carbon-rich and silicon layer 242 is formed on the upper portion of the gate spacer layer 222, the etch selectivity and etch resistance of the gate spacer layer 222 with respect to the interlayer dielectric layer 250 may be increased. In other words, the loss of the gate spacer layer 222 during the etching of the interlayer dielectric layer 250 is reduced due to the presence of the carbon-rich and silicon layer 242. In one example, increasing the etch selectivity between the gate spacer layer 222 and the interlayer dielectric layer 250 may improve the etch selectivity by at least a factor of four, even though the implant doses of silicon and carbon atoms may be similar as described above. In the illustrated embodiment, after the etching process of step 112, the height of the gate spacer layer 222 including the carbon-rich and silicon layer 242 is greater than the height of the gate spacer layer 222 without any carbon-rich and silicon layer 242.

As shown in fig. 1, 10, 11A, and 11B, step 114 of method 100 deposits a dielectric layer 272 in trench 270. The dielectric layer 272 may comprise any suitable material that is different from the dielectric material comprised in the interlayer dielectric layer 250. In some embodiments, the dielectric layer 272 comprises a nitride, such as silicon nitride, a metal nitride, or the like, and may further comprise other elements, such as oxygen, carbon, other suitable elements, or combinations thereof. The dielectric layer 272 may be formed by any suitable method, such as physical vapor deposition, chemical vapor deposition, flowable chemical vapor deposition, atomic layer deposition, other suitable methods, or combinations thereof. One or more chemical mechanical polishing processes may then be performed to remove excess dielectric layer 272 and planarize the top surface of the device 200, as shown in FIGS. 11A and 11B. In some embodiments, the dielectric layer 272 is configured to facilitate subsequent processing steps used to form gate contacts, such as forming self-aligned gate contacts and/or protecting the source/drain structures 214 while forming source/drain contacts (as described in more detail below). After the cmp process, at least a portion of the carbon-rich and silicon layer 242 may remain in the device 200, as shown in fig. 11A. In another embodiment, a chemical mechanical polishing process may remove the carbon-rich and silicon layer 242 from the device 200, as shown in fig. 11B. In some examples, the height of the carbon-rich and silicon layer 242 may be between about 10nm to about 15 nm. In some examples, the height of the silicon-rich carbon layer 242 may be about 10% of the height of the high-k gate dielectric layer and the metal gate structure 260. In other words, the CMP process may be removed from the apparatus 200 of step 114Removing a portion of the carbon rich and silicon layer 242. In many embodiments, the height h of the high-k gate dielectric layer and the metal gate structure 260gHeight h (from the top surface to the bottom surface of the high-k gate dielectric layer and metal gate structure 260) and gate spacer layer 222sThe difference between the height hs (from the portion of the top surface of the gate spacer layer 222 below the carbon-rich and silicon layer 242 to the bottom surface of the high-k gate dielectric layer and the metal gate structure 260) is less than about half of the difference between the height hs and the height hi (from the top surface of the interlayer dielectric layer 250 to the bottom surface of the high-k gate dielectric layer and the metal gate structure 260). In one example, the height hgAnd a height hsLess than about 10nm, and a height hsAnd a height hiAt least about 20 nm.

As shown in fig. 1 and 12, step 116 of the method 100 performs additional process steps. For example, a gate contact 282 (e.g., a self-aligned gate contact) may be formed on the high-k gate dielectric layer and the metal gate structure 260. The gate contact 282 may be formed by first depositing an interlayer dielectric 280 over the device 200. The interlayer dielectric 280 is then patterned and etched to form a gate contact trench (not shown), and a conductive material (e.g., tungsten, copper, aluminum, cobalt, ruthenium, or the like) is filled into the gate contact trench by any suitable method (e.g., chemical vapor deposition, atomic layer deposition, physical vapor deposition, electroplating, or the like) to form a gate contact 282. Additional layers of material, such as barrier layers, may be formed in the gate contact trench prior to depositing the conductive material. Source/drain contacts 284 may also be formed on the source/drain structure 214 by a series of patterning, etching, and deposition processes (similar to those described above for the gate contact 282). In some embodiments, a silicide layer (not shown) may be formed on the source/drain structures 214 by any suitable method prior to forming the source/drain contacts 284. Additional vertical interconnect structures, such as contacts and/or vias, and/or horizontal interconnect structures, such as lines, and multi-level interconnect structures, such as metal layers and interlevel dielectric layers, may then be formed on the device 200. Various interconnect structures may implement various conductive materials such as copper, tungsten, cobalt, aluminum, titanium, tantalum, platinum, molybdenum, silver, gold, manganese, zirconium, ruthenium, their respective alloys, metal silicides, and/or other suitable materials. The metal silicide may comprise nickel silicide, cobalt silicide, tungsten silicide, tantalum silicide, titanium silicide, platinum silicide, erbium silicide, palladium silicide, and/or other suitable metal silicides.

One or more embodiments of the present invention may provide many advantages to semiconductor devices and methods of forming the same, but are not limited thereto. For example, embodiments of the present invention provide methods for performing a two-step implantation process on gate spacer material to reduce gate spacer loss. Embodiments of the present invention form a carbon-rich and silicon layer in the upper portion of the gate spacer by sequentially implanting silicon and carbon atoms into the gate spacer material, which may increase etch selectivity relative to the interlevel dielectric layer adjacent to the gate spacer.

An embodiment of the invention is a method of fabricating an integrated circuit structure. The method comprises forming gate spacers on sidewalls of a dummy gate structure on a semiconductor substrate; performing a first implantation process on the gate spacer, wherein the first implantation process comprises bombarding an upper portion of the gate spacer with silicon atoms; performing a second implantation process on the upper portion of the gate spacer after performing the first implantation process, wherein the second implantation process comprises bombarding the upper portion of the gate spacer with carbon atoms; and replacing the dummy gate structure with the high-k gate dielectric layer and the metal gate structure after the second implantation process, wherein the step of replacing the dummy gate structure with the high-k gate dielectric layer and the metal gate structure comprises forming an interlayer dielectric layer.

In some embodiments, the method further comprises recessing a portion of the interlayer dielectric layer to form a trench adjacent to the high-k gate dielectric layer and the metal gate structure, and forming a dielectric layer in the trench, wherein the composition of the dielectric layer is different from the composition of the interlayer dielectric layer.

In some embodiments, the step of recessing the interlayer dielectric layer includes performing a dry etching process using hydrofluoric acid, ammonia, or a combination thereof as an etchant.

In some embodiments, the step of forming a dielectric layer in the trench includes: depositing a dielectric layer on the interlayer dielectric layer in the trench; and performing a chemical mechanical polishing process on the dielectric layer deposited in the groove.

In some embodiments, the step of forming the gate spacer comprises forming a silicon and oxygen containing gate spacer, wherein the amount of silicon and oxygen contained in the gate spacer is the same as the amount of silicon and oxygen contained in the interlayer dielectric layer.

In some embodiments, performing the first implant process includes performing a first dose of silicon atoms, performing the second implant process includes performing a second dose of carbon atoms, and the first dose is the same as the second dose.

In some embodiments, the energy level of the first implantation process is higher than the energy level of the second implantation process.

In some embodiments, the second implantation process includes forming a carbon-rich and silicon layer in an upper portion of the gate spacer, and a lower surface of the carbon-rich and silicon layer is below an upper surface of the dummy gate structure.

Another embodiment of the invention is directed to a method of fabricating an integrated circuit structure. The method includes forming a semiconductor structure including a dummy gate structure, a gate spacer on a sidewall of the dummy gate structure, and a source/drain structure adjacent to the dummy gate structure; implanting a silicon-based implant into an upper portion of the gate spacer to form a silicon-rich layer; implanting carbon-based ions into the silicon-rich layer to form a carbon-rich and silicon-rich layer; depositing an interlayer dielectric layer on the source/drain structure and the gate spacer, wherein the interlayer dielectric layer comprises silicon oxide; after depositing the dielectric layer between layers, form the grid dielectric layer and metal grid structure of the high dielectric constant in order to replace the structure of the fictitious grid; and after forming the gate dielectric layer and the metal gate structure with high dielectric constant, making the interlayer dielectric layer recessed.

In some embodiments, the silicon-based implant and the carbon-based implant include implants with tilted dose sources performed at an angle of about 5 degrees to about 15 degrees.

In some embodiments, the gate spacer is a first gate spacer and the first gate spacer comprises carbon, and the method further comprises forming a second gate spacer between the sidewall of the dummy gate structure and the first gate spacer, and the second gate spacer comprises a higher amount of carbon than the first gate spacer.

In some embodiments, recessing the interlayer dielectric layer comprises etching the interlayer dielectric layer with an etchant having a higher etch rate than the carbon-rich and silicon-rich layers.

In some embodiments, the step of performing the silicon-based implant includes bombarding an upper portion of the gate spacer with silicon tetrafluoride.

In some embodiments, the step of performing the carbon-based implant includes bombarding the silicon-rich layer with carbon dioxide.

In some embodiments, the step of forming the carbon-rich and silicon layer results in an upper portion of the gate spacer having a greater amount of carbon than a lower portion of the gate spacer, and a lower portion of the gate spacer being below the upper portion.

Yet another embodiment of the present invention is directed to a method of fabricating an integrated circuit structure. Implanting silicon atoms into the gate spacer on the sidewall of the dummy gate structure to form a silicon-rich layer on an upper portion of the gate spacer, wherein the dummy gate structure is adjacent to the source/drain structure; implanting carbon atoms into the silicon-rich layer to form a carbon-rich and silicon-rich layer; forming a high-k gate dielectric layer and a metal gate structure to replace the dummy gate structure, including depositing an interlayer dielectric layer comprising less carbon than the carbon-rich silicon layer of the gate spacer; recessing the interlayer dielectric layer to form a trench on the source/drain structure; and forming a dielectric layer in the trench.

In some embodiments, recessing the interlayer dielectric layer comprises etching the interlayer dielectric layer with an etchant comprising hydrofluoric acid, ammonia, or a combination thereof, wherein the etchant etches the interlayer dielectric layer at a rate higher than a rate of etching the carbon-rich and silicon-rich layer.

In some embodiments, implanting the silicon atoms and the carbon atoms includes bombarding the silicon atoms and the carbon atoms at a tilt angle between about 5 degrees and about 15 degrees, respectively.

In some embodiments, the step of forming the dielectric layer comprises: depositing a dielectric material in the trench, wherein the composition of the dielectric material is different from the composition of the interlayer dielectric layer; and removing portions of the dielectric material on the upper surface of the high-k gate dielectric layer and the metal gate structure, including removing a portion of the carbon-rich and silicon-rich layer from the upper portion of the gate spacer.

In some embodiments, the step of removing the portion of the dielectric material includes performing a chemical mechanical polishing process.

The features of the above-described embodiments are helpful to those skilled in the art in understanding the present invention. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced above. It should also be understood by those skilled in the art that these equivalent substitutions and alterations can be made without departing from the spirit and scope of the present invention, and that these changes, substitutions and alterations can be made without departing from the spirit and scope of the present invention.

27页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:半导体器件及其形成方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类