一种基于fpga的波形发生及采集方法和装置

文档序号:1815298 发布日期:2021-11-09 浏览:21次 >En<

阅读说明:本技术 一种基于fpga的波形发生及采集方法和装置 (FPGA-based waveform generation and acquisition method and device ) 是由 张自圃 邵雨新 李坤贺 吴昌昊 李中 于 2021-08-04 设计创作,主要内容包括:本发明公开了一种基于FPGA的波形发生及采集方法和装置,所述装置包括:上位机模块、处理器模块、信号处理模块、信号输出模块;上位机模块用于接收用户输入的波形产生控制指令及参数,并将其发送至处理器模块;处理器模块用于对波形产生控制指令及参数进行处理获得第一处理数据,再将第一处理数据发送给信号处理模块;信号处理模块用于根据第一处理数据确定要输出的信号类型,并将信号类型发送给信号输出模块;信号输出模块用于根据信号类型输出波形信号。本发明在产生较宽的方波码制波形和输入较宽的方波码制波形电路中,能替代AD和DA处理方波信号的功能,简化电路的设计,在节约设计成本的基础上实现了波形的产生和采集。(The invention discloses a waveform generating and collecting method and a device based on FPGA, wherein the device comprises: the device comprises an upper computer module, a processor module, a signal processing module and a signal output module; the upper computer module is used for receiving a waveform generation control instruction and parameters input by a user and sending the waveform generation control instruction and the parameters to the processor module; the processor module is used for processing the waveform generation control command and the parameters to obtain first processing data and then sending the first processing data to the signal processing module; the signal processing module is used for determining the type of a signal to be output according to the first processing data and sending the type of the signal to the signal output module; the signal output module is used for outputting a waveform signal according to the signal type. The invention can replace the function of AD and DA processing square wave signals in the circuit for generating the wider square wave code system waveform and inputting the wider square wave code system waveform, simplifies the design of the circuit and realizes the generation and the acquisition of the waveform on the basis of saving the design cost.)

一种基于FPGA的波形发生及采集方法和装置

技术领域

本发明涉及电子科学技术领域,具体涉及一种基于FPGA的波形发生及采集方法和装置。

背景技术

在实际工程应用中,若使用AD芯片实现信号的采集,则需设计AD芯片的外围电路,并且需要处理器或者FPGA来配置AD芯片,最后实现AD芯片对输入信号的采集:①如果是FPGA配置AD芯片,那么AD芯片将采集到的信号发给FPGA,FPGA在把数据传给处理器,最终把数据传给上位机绘图。②如果是处理器配置AD芯片,那么AD芯片将采集到的信号发给处理器,最终把数据传给上位机绘图。

若使用DA芯片实现信号的产生,则需设计DA芯片的外围电路,并且需要处理器或者FPGA来配置DA芯片,最后实现波形的输出:①如果是FPGA配置DA芯片,FPGA接收处理器发送过来的相关控制指令,由FPGA控制DA实现波形的输出。②如果是处理器配置DA芯片,由处理器控制DA实现波形的输出。

现有的波形发生及采集方法需要复杂的电路设计及较高的设计成本来处理简单的波形发生和采集,不利于节约成本。

发明内容

本发明所要解决的技术问题是现有的波形发生及采集方法需要复杂的电路设计及较高的设计成本来处理简单的波形发生和采集,不利于节约成本,目的在于提供一种基于FPGA的波形发生及采集方法和装置,解决上述问题。

本发明通过下述技术方案实现:

一种基于FPGA的波形发生及采集方法,包括如下步骤:

波形发生:

接收用户输入的波形产生控制指令及参数,并将波形产生控制指令及参数发送至处理器;

处理器对波形产生控制指令及参数进行处理获得第一处理数据,并将第一处理数据通过EMIF总线发送给信号处理模块;

信号处理模块根据第一处理数据确定要输出的信号类型,并将信号类型发送给信号输出模块;

信号输出模块根据信号类型输出波形信号;

波形采集:

采集信号输出模块输出的波形信号,并将波形信号通过IO口发送给信号处理模块;

信号处理模块将接收到的波形信号写进FIFO,当FIFO状态半满时,通过中断模式把波形信号发送给处理器模块;

处理器模块接收波形信号并生成第二处理数据,再将第二处理数据传输给上位机;上位机根据第二处理数据绘制波形图并输出波形图。

本发明设计基于FPGA的波形发生及采集方法和装置,的功能电路由FPGA芯片、门电路及处理器组成,适用于需要产生较宽的方波码制波形和输入较宽的方波码制波形电路(码制宽度≥1ms)。波形发生时,当处理器收到上位机的控制命令后,由处理器通过EMIF总线将每路信号所要产生的码制波形或者电平的相关指令或者参数写给FPGA。FPGA则根据指令产生相应的码制波形或者电平信号。波形采集时,当FPGA根据上位机下发的采集信号的相关指令,完成信号的采集及信息的上传。上位机则根据收到的信息绘出采集到的波形。

进一步地,所述信号处理模块为FPGA。

进一步地,所述信号类型包括电平信号类型或者码制波形信号类型。

进一步地,波形发生时,由上位机配置软件进行参数配置,包括:

信号的输出模式:电平或者码制波形;

输出码制信号的顺序:按控制码字正向或者反向输出码制波形;

码制的宽度:需要输出的1或者0的宽度;

码制波形中码字的个数:需要输出的码制波形中1和0的总个数;

码制信号的码字:需要输出码制波形的控制字。

进一步地,波形采集时,所述上位机根据第二处理数据绘制波形图并输出波形图,具体为上位机则根据收到的第二处理数据和电平变化时刻时间信息绘出采集到的波形。

进一步地,波形采集时,由上位机配置软件进行参数配置,包括:根据下发的参数值来检测输入波形高低电平变化的时刻;当为1时,为采集到的波形信息,当为0时,为采集到的电平信息。

一种基于FPGA的波形发生及采集装置,基于所述的一种基于FPGA的波形发生及采集方法,其特征在于,包括:上位机模块、处理器模块、信号处理模块、信号输出模块、信号输入模块;

波形发生:

所述上位机模块用于接收用户输入的波形产生控制指令及参数,并将波形产生控制指令及参数发送至处理器模块;

所述处理器模块用于对波形产生控制指令及参数进行处理获得第一处理数据,再将第一处理数据通过EMIF总线发送给信号处理模块;

所述信号处理模块用于根据第一处理数据确定要输出的信号类型,并将信号类型发送给信号输出模块;

所述信号输出模块用于根据信号类型输出波形信号。

波形采集:

所述信号输入模块用于采集信号输出模块输出的波形信号,并将波形信号通过IO口发送给信号处理模块;

所述信号处理模块用于将接收到的波形信号写进FIFO,当FIFO状态半满时,通过中断模式把波形信号发送给处理器模块;

所述处理器模块用于根据波形信号生成第二处理数据,再将第二处理数据传输给上位机;

所述上位机模块用于根据第二处理数据绘制波形图并输出波形图。

本发明与现有技术相比,具有如下的优点和有益效果:

本发明提供的一种基于FPGA的波形发生及采集方法和装置,在产生较宽的方波码制波形和输入较宽的方波码制波形电路(码制宽度≥1ms)中,能替代AD和DA处理方波信号的功能,简化电路的设计,本发明在节约设计成本的基础上实现了波形的产生和采集。

附图说明

为了更清楚地说明本发明示例性实施方式的技术方案,下面将对实施例中所需要使用的附图作简单地介绍,应当理解,以下附图仅示出了本发明的某些实施例,因此不应被看作是对范围的限定,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他相关的附图。在附图中:

图1为波形发生的流程图;

图2波形采集的流程图;

图3波形发生的模块化流程图;

图4波形采集的模块化流程图。

具体实施方式

为使本发明的目的、技术方案和优点更加清楚明白,下面结合实施例和附图,对本发明作进一步的详细说明,本发明的示意性实施方式及其说明仅用于解释本发明,并不作为对本发明的限定。

实施例

本发明适用于输入和输出的信号为电平恒定的方波信号。

本发明设计基于FPGA的波形发生及采集的方法的功能电路由FPGA芯片、门电路及处理器组成。适用于需要产生较宽的方波码制波形和输入较宽的方波码制波形电路(码制宽度≥1ms)。

波形发生时,当处理器收到上位机的控制命令后,由处理器通过EMIF总线将每路信号所要产生的码制波形或者电平的相关指令或者参数写给FPGA。FPGA则根据指令产生相应的码制波形或者电平信号。

波形采集时,当FPGA根据上位机下发的采集信号的相关指令,完成信号的采集及信息的上传。上位机则根据收到的信息绘出采集到的波形。

波形发生的流程图如图1所示。

波形采集的流程图如图2所示。

1、具体操作如下:

(1)产生波形:当处理器收到上位机的控制命令后,由处理器通过EMIF总线将每路信号所要产生的码制波形或者电平的相关指令或者参数写给FPGA。

所要产生信号的参数配置由上位机配置软件进行配置,板卡能够记忆本次配置参数。

上位机软件控制的参数:①信号的输出模式:电平或者码制波形;②输出码制信号的顺序:按控制码字正向或者反向输出码制波形;③码制的宽度:需要输出的1或者0的宽度;④码制波形中码字的个数:需要输出的码制波形中1和0的总个数;⑤码制信号的码字:需要输出码制波形的控制字。

实现流程:FPGA接收到控制指令后,根据指令选择要输出的信号的类型。当为码制波形时,根据码字、码字的个数和码制的宽度产生正序或者反序的波形输出到电路的IO口。

(2)波形采集:当FPGA根据上位机下发的采集信号的相关指令,完成信号的采集及信息的上传。上位机则根据收到的信息绘出采集到的波形。

上位机软件控制的参数:①查询周期:根据下发的参数值来检测输入波形高低电平变化的时刻;②开始指令:当为1时,为采集到的波形信息,当为0时,为采集到的电平信息。

实现流程:当FPGA接收到控制指令后,开始指令为1时,即开始按照查询周期计数,并将IO口输入码制波形的电平变化时间信息和电平状态写进FIFO中。当FIFO状态半满时,给处理器发送中断信号,处理器收到中断信号后开始通过EMIF总线读FIFO中的数据,再将数据通过网线上传给上位机软件,利用上位机绘制出检测到的波形。

2、波形产生及波形采集的各模块及其功能

(1)波形产生的各模块及其功能

①上位机模块:该模块负责发送波形产生的控制指令及参数配置,通过网线将相关参数下发给处理器模块。

②处理器模块:该模块由处理器完成,实现接收上位机下发的数据,且通过EMIF总线与信号处理模块通信。

③信号处理模块:该模块由FPGA完成,FPGA根据接收到的指令信息选择要输出的信号的类型(电平或者码制波形)。

④信号输出模块:该模块通过IO口实现电平或者码制波形的输出。

波形产生的模块化流程图如图3所示。

(2)波形采集的各模块及其功能

①上位机模块:该模块负责发送波形产生的控制指令、参数配置、接收处理器模块上传的数据和根据电平变化时刻和时间信息绘图。

②处理器模块:该模块由处理器完成,实现接收上位机下发的数据和信号处理模块利用中断模式上传的数据,且通过EMIF总线与信号处理模块通信。

③信号处理模块:该模块由FPGA完成,FPGA根据接收到的指令信息,按照查询周期检测外部信号的电平变化时刻,同时将该时刻的时间信息和电平状态写进FIFO中,当FIFO状态半满时,通过中断模式通知处理器把数据读走。

④信号输入模块:该模块通过IO口实现电平或者码制波形的输入。

波形采集的模块化流程图如图4所示。

以上所述的具体实施方式,对本发明的目的、技术方案和有益效果进行了进一步详细说明,所应理解的是,以上所述仅为本发明的具体实施方式而已,并不用于限定本发明的保护范围,凡在本发明的精神和原则之内,所做的任何修改、等同替换、改进等,均应包含在本发明的保护范围之内。

10页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:一种基于线程逻辑时钟的事务内存的实现方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!