Compositions and methods for selectively etching hard masks and/or etch stop layers in the presence of low-k materials, copper, cobalt, and/or tungsten layers

文档序号:1957748 发布日期:2021-12-10 浏览:18次 中文

阅读说明:本技术 在低k材料、铜、钴和/或钨层存在下选择性蚀刻硬掩模和/或蚀刻终止层的组合物和方法 (Compositions and methods for selectively etching hard masks and/or etch stop layers in the presence of low-k materials, copper, cobalt, and/or tungsten layers ) 是由 J·T·V·霍格博姆 A·克里普 柯志正 王哲伟 C·C·汀 于 2020-05-20 设计创作,主要内容包括:描述了清洁组合物与一种或多种氧化剂组合的用途,用于从半导体衬底表面除去蚀刻后或灰化后的残余物和/或用于氧化性蚀刻或部分氧化性蚀刻包含选自TiN、Ta、TaN、Al和HfOx的材料或由其组成的层或掩模和/或包含选自碳化钨(WC-(x))和氮化钨(WN-(x))的材料或由其组成的层或掩模,和/或用于从半导体衬底表面除去包含铝化合物的层。进一步描述了所述清洁组合物和所述清洁组合物用于从半导体衬底表面除去蚀刻后或灰化后的残余物的用途。在另一方面,描述了一种包含所述清洁组合物和一种或多种氧化剂的湿蚀刻组合物以及所述湿蚀刻组合物的用途。还描述了由半导体衬底制造半导体器件的方法和包含所述清洁组合物和一种或多种氧化剂的成套包装。(The use of a cleaning composition in combination with one or more oxidizing agents for removing post-etch or post-ash residues from the surface of a semiconductor substrate and/or for the oxidative or partially oxidative etching of a layer or mask comprising or consisting of a material selected from TiN, Ta, TaN, Al and HfOx and/or comprising a material selected from tungsten carbide (WC) is described x ) And tungsten nitride (WN) x ) And/or for removing a layer comprising an aluminium compound from the surface of a semiconductor substrate. Further described are the cleaning compositions and the use of the cleaning compositions for removing post-etch or post-ash residues from semiconductor substrate surfaces. In another aspect, a wet etching composition comprising the cleaning composition and one or more oxidizing agents and use of the wet etching composition are described. Also described are methods of manufacturing semiconductor devices from semiconductor substrates and kits comprising the cleaning compositions and one or more oxidizing agents.)

1. Use of a cleaning composition comprising at least the following components:

(A) one or more compounds of formula I as solubilizing agents:

wherein R is1Selected from branched or unbranched alkyl groups having 1,2, 3 or 4 carbon atoms;

(B) one or more corrosion inhibitors selected from the group consisting of benzotriazole which is unsubstituted or substituted once or twice with branched or unbranched alkyl groups having 1,2, 3 or 4 carbon atoms wherein alkyl is aminoalkyl which is branched or unbranched and has 1,2, 3 or 4 carbon atoms, phenyl, thiophenyl, halogen, hydroxy, nitro and/or thiol independently, and mixtures thereof;

(C) one or more polar aprotic organic solvents,

wherein one, at least one of the one or more, or all polar aprotic organic solvents (C) of the one or more are selected from the group consisting of:

-an alkyl sulfone compound of formula IV:

wherein:

R5is a branched or unbranched alkyl group having 1 to 5 carbon atoms,

R6is a branched or unbranched alkyl radical having from 1 to 5 carbon atoms, or

R5And R6Together form a branched or unbranched alkylene group having 3 to 5 carbon atoms, wherein one or two carbon atoms of the alkylene group may be independently replaced by-O-R7Is substituted in which R7Is a branched or unbranched alkyl group having 1 to 4 carbon atoms;

-a mixture of N-dimethylformamide and N-dimethylformamide,

-a source of dimethyl sulfoxide (DMSO),

-a source of dimethyl acetamide (DEPA),

-N-methyl pyrrolidone,

-a propylene carbonate,

-a source of hydrogen peroxide,

2-imidazolidinones substituted once or twice by branched or unbranched alkyl groups having from 1 to 4, preferably from 1 to 2, carbon atoms,

-and mixtures thereof; and

(D) the amount of water is controlled by the amount of water,

the cleaning composition is combined with one or more oxidizing agents, wherein the one or more oxidizing agents are selected from the group consisting of hydrogen peroxide, urea peroxide, peroxydisulfuric acid, ammonium persulfate, peroxymonosulfuric acid, pyrosulfuric acid, ozone, and mixtures thereof,

for:

method for removing post-etch or post-ash residues from a semiconductor substrate surface, and/or

Etching or partial etching containing a material selected from Ti, TiN, Ta, TaN, Al and HfOxAnd/or a layer or mask comprising or consisting of a material selected from tungsten carbide and tungsten nitride.

2. Use according to claim 1, wherein at least one of the one or more, or all polar aprotic organic solvents (C) of the one or more, is an alkyl sulfone compound of formula IV as defined in claim 1, preferably selected from ethyl methyl sulfone, ethyl isopropyl sulfone, ethyl isobutyl sulfone, isopropyl isobutyl sulfone, sulfolane, 3-methoxysulfolane and mixtures thereof, more preferably selected from ethyl methyl sulfone, ethyl isopropyl sulfone, sulfolane and mixtures thereof.

3. Use according to any of the preceding claims, wherein the cleaning composition further comprises:

(F) a buffer system adapted to buffer the pH of the cleaning composition to 6-9, preferably 7-8.5; and/or

(G) One or more chelating agents for at least one of the compounds,

preferably in a total amount of 0.01 to 3 mass%, more preferably 0.1 to 2 mass%, still more preferably 0.15 to 1.5 mass%, relative to the total mass of the cleaning composition; and/or

(H) One or more surfactants, preferably comprising one or more fluorosurfactants.

4. Use according to any one of the preceding claims, wherein in the cleaning composition:

at least one of the one or more solubilizers (A) is or comprises 4-methylmorpholine-4-oxide, and/or

-at least one of the one or more corrosion inhibitors (B) is selected from benzotriazoles which are unsubstituted or substituted once or twice independently by branched or unbranched alkyl groups having 1,2, 3 or 4 carbon atoms and/or halogen, preferably selected from chlorine and bromine, and mixtures thereof; and/or

-at least one of the one or more polar aprotic organic solvents (C) is selected from the group consisting of dimethylformamide, dimethylsulfoxide, dimethylacetamide, N-methylpyrrolidone, propylene carbonate, sulfolane, tetrahydrofuran and mixtures thereof,

wherein preferably at least one of the one or more polar aprotic organic solvents (C) is dimethyl sulfoxide or sulfolane, and/or

-the total amount of fluoride anion containing etchant is <0.001 mass% relative to the total mass of the cleaning composition, wherein preferably the cleaning composition does not contain fluoride anion containing etchant.

5. Use according to any one of the preceding claims, wherein in the cleaning composition:

-the total amount of the one or more solubilisers (a), preferably 4-methylmorpholine-4-oxide, is between 1 and 15 mass%, preferably between 2 and 12 mass%, more preferably between 3 and 10 mass%, relative to the total mass of the cleaning composition, and/or

-the total amount of said one or more corrosion inhibitors (B) is from 0.1 to 6 mass%, preferably from 0.2 to 6 mass%, more preferably from 0.5 to 5 mass%, relative to the total mass of the cleaning composition, which is preferably selected from benzotriazoles which are unsubstituted or substituted once or twice by branched or unbranched alkyl groups having 1,2, 3 or 4 carbon atoms and/or by halogens and mixtures thereof; and/or

-the total amount of the polar aprotic organic solvent(s) (C) is from 1 to 50 mass%, preferably from 3 to 45 mass%, more preferably from 5 to 40 mass%, relative to the total mass of the cleaning composition; and/or

-at least one, or at least one of the one or more, or all polar aprotic organic solvents (C) of the one or more, are alkyl sulfone compounds of formula IV as defined in claim 1, and the total amount of the one or more polar aprotic organic solvents (C) is from 10 to 50 mass%, preferably from 15 to 45 mass%, more preferably from >30 to 45 mass%, relative to the total mass of the cleaning composition; and/or

-the cleaning composition comprises at least components (a), (B), (C), (D) and (F), preferably components (a), (B), (C), (D), (F), (G) and (H); and/or

-water (D) making up the balance to 100 mass% of the cleaning composition.

6. Use according to any one of the preceding claims, wherein the use comprises removing post-etch or post-ash residues from a semiconductor substrate surface, and wherein:

the semiconductor substrate comprises at least one material selected from copper, cobalt, preferably tungsten, and a low-k material, and/or

-the use comprises cleaning a semiconductor substrate comprising at least one material selected from copper, cobalt, preferably tungsten, and a low-k material; and/or

-the use comprises removing post-etch or post-ash residues from a semiconductor substrate surface in the presence of at least one material selected from copper, cobalt, preferably tungsten, and a low-k material,

wherein the post-etch or post-ash residue comprises one or more residues selected from the group comprising or consisting of:

one or more organic compounds, preferably organic polymers, with or without fluorine,

-a metal organic complex, and

-a metallic material, preferably titanium and/or an oxide of titanium and/or a nitride of titanium; and/or

-the use comprises removing residues and contaminants from a surface of a semiconductor substrate comprising at least one material selected from copper, cobalt, preferably tungsten, and low-k materials,

-wherein preferably the residues and contaminants comprise or consist of organic compounds, preferably organic polymers, which may or may not contain fluorine.

7. Use according to any one of the preceding claims, wherein the use comprises etching, preferablyA selectively oxidizing etch, or a partial etch, preferably a partial oxidizing etch, comprising or consisting of a material selected from the group consisting of Ti, TiN, Ta, TaN, Al and HfOx, preferably a hard mask, and/or comprising a material selected from the group consisting of tungsten carbide (WC)x) And tungsten nitride (WN)x) Or a layer or mask, preferably a hard mask, consisting thereof, wherein the etching or partial etching of the layer or mask, preferably the hard mask, comprises:

-removing or partially removing a metal-containing hard mask, preferably selected from the group consisting of Ti hard mask, TiN hard mask, Ta hard mask, TaN hard mask, Al hard mask and HfOxA hard mask, and/or a hard mask selected from the group consisting of tungsten carbide and tungsten nitride, more preferably a TiN hard mask, preferably in the presence of at least one material selected from copper, cobalt, preferably tungsten, and a low-k material, and/or

-etching, preferably oxidizing etching, or partially etching, preferably partially oxidizing etching, in the presence of at least one material selected from copper, cobalt, preferably tungsten and a low-k material on the surface of the semiconductor substrate; and/or

-etching, preferably selectively etching, the layer comprising an aluminium compound in the presence of a layer of low-k material and/or a layer comprising preferably tungsten and/or a layer comprising copper and/or cobalt; and/or

-removing, preferably selectively removing, the layer comprising an aluminium compound from the semiconductor substrate in the presence of a layer of low-k material and/or a layer comprising preferably tungsten and/or a layer comprising copper and/or cobalt, and/or

-removing, preferably selectively removing, the layer comprising an aluminium compound from the surface of the semiconductor substrate in the presence of a layer of a low-k material and/or a layer comprising preferably tungsten and/or a layer comprising copper and/or cobalt.

8. Use according to any one of the preceding claims, wherein:

-the cleaning composition is used in a one-step removal process in combination with one or more oxidizing agents for removing:

(i) a hard mask comprising a metal, wherein the hard mask comprises a metal,

preferably selected from the group consisting of Ti hard mask, TiN hard mask, Ta hard mask, TaN hard mask, Al hard mask and HfOxA hard mask, and/or selected from a tungsten carbide hard mask and a tungsten nitride hard mask, more preferably a TiN hard mask; and

(ii) an etch stop layer of an aluminium compound deposited on the layer comprising copper, preferably the copper layer, and/or on the layer comprising cobalt, preferably the cobalt layer;

preferably in the presence of tungsten completely covered by at least one layer of low-k material;

and/or

-the cleaning composition is used in combination with the one or more oxidizing agents in a separate step or simultaneously in the same step, preferably simultaneously in the same step; and/or

-the one or more oxidizing agents, preferably hydrogen peroxide, are used in a total amount of 2-25 mass%, preferably 5-20 mass%, more preferably 7.5-20 mass%, even more preferably 10-17.5 mass%, relative to the total mass of the cleaning composition; and/or

-one or more stabilizers are used in combination with said one or more oxidizing agents and/or with the cleaning composition, preferably selected from amine-N-oxides; citric acid; 1-hydroxyethane 1, 1-diphosphonic acid; glycolic acid;

lactic acid; (ii) hydroxybutyric acid; glyceric acid; malic acid; tartaric acid; malonic acid; succinic acid; glutaric acid;

maleic acid and mixtures thereof.

9. The cleaning composition as defined in any one of claims 1-5, wherein said cleaning composition comprises:

(F) a buffer system adapted to buffer the pH of the cleaning composition to 6-9,

and wherein the total amount of the fluoride anion containing etchant is <0.001 mass% relative to the total mass of the cleaning composition, wherein preferably the cleaning composition does not contain fluoride anion containing etchant.

10. Use of a cleaning composition according to claim 9 for removing post-etch or post-ash residues from a semiconductor substrate surface, preferably as defined in claim 1 and/or 6.

11. A wet etch composition comprising:

(W1) a cleaning composition as defined in any one of claims 1 to 5, preferably as defined in any one of claims 2 to 5, and

(W2) one or more oxidizing agents selected from the group consisting of hydrogen peroxide, urea peroxide, peroxydisulfuric acid, ammonium persulfate, peroxymonosulfuric acid, pyrosulfuric acid, and ozone;

preferably the total amount is 2 to 25 mass%, preferably 5 to 20 mass%, more preferably 7.5 to 20 mass%, even more preferably 10 to 17.5 mass%, relative to the total mass of the cleaning composition.

12. Use of the wet etching composition according to claim 11 for:

-removing or partially removing a metal-containing hard mask, preferably selected from the group consisting of Ti hard mask, TiN hard mask, Ta hard mask, TaN hard mask, Al hard mask and HfOxA hard mask, and/or a hard mask selected from the group consisting of tungsten carbide and tungsten nitride, more preferably a TiN hard mask, preferably in the presence of at least one material selected from copper, cobalt, preferably tungsten, and a low-k material, and/or

-etching, preferably oxidizing etching, or partially etching, preferably partially oxidizing etching, a layer or mask comprising or consisting of a material selected from the group consisting of Ti, TiN, Ta, TaN, Al and HfOx, and/or a layer or mask comprising or consisting of a material selected from the group consisting of tungsten carbide (WCx) and tungsten nitride (WNx), preferably a layer or mask comprising or consisting of TiN,

on a surface of a semiconductor substrate, preferably in the presence of at least one material selected from copper, cobalt, preferably tungsten, and a low-k material; and/or

-etching, preferably selectively etching, the layer comprising an aluminium compound in the presence of a layer of low-k material and/or a layer comprising copper and/or cobalt and/or a layer preferably comprising tungsten; and/or

-removing, preferably selectively removing, the layer comprising an aluminium compound from the semiconductor substrate in the presence of the layer of low-k material and/or the layer comprising copper and/or cobalt and/or the layer preferably comprising tungsten; and/or

-removing, preferably selectively removing, the layer comprising an aluminium compound from the surface of the semiconductor substrate in the presence of the layer of low-k material and/or the layer comprising copper and/or cobalt and/or the layer preferably comprising tungsten; and/or

Removing post-etch or post-ash residues from the surface of the semiconductor substrate in the presence of at least one material selected from copper, cobalt, preferably tungsten, and low-k materials,

wherein the post-etch or post-ash residue preferably comprises one or more residues selected from the group comprising or consisting of:

one or more organic compounds, preferably organic polymers, with or without fluorine,

-a metal organic complex, and

-a metallic material, preferably titanium and/or an oxide of titanium and/or a nitride of titanium.

13. A method of manufacturing a semiconductor device from a semiconductor substrate, comprising the steps of:

p1) preparing a wet etching composition by mixing the cleaning composition according to any one of claims 1-5, preferably according to any one of claims 2-5, with one or more oxidizing agents selected from the group consisting of hydrogen peroxide, urea peroxide, peroxydisulfuric acid, ammonium persulfate, peroxymonosulfuric acid, pyrosulfuric acid, ozone and mixtures thereof, or

Providing the wet etching composition of claim 11, and

p2) contacting at least once the material, preferably in the presence of at least one material selected from copper, cobalt, preferably tungsten and a low-k material,

a layer or hard mask on the surface of the semiconductor substrate, preferably selected from a Ti layer or mask, a TiN layer or mask, a Ta layer or mask, a TaN layer or mask, an Al layer or mask and HfOxA layer or mask, and/or selected from a tungsten carbide hard mask and a tungsten nitride hard mask; more preferably a TiN layer or mask, and/or

An etch stop layer comprising or consisting of one or more aluminum compounds deposited on a layer comprising copper, preferably a copper layer and/or a layer comprising cobalt, preferably a cobalt layer, on a surface of a semiconductor substrate,

preferably so as to

Etching, preferably selective oxidative etching, or partial etching, preferably selective partial oxidative etching, of the layer or mask, and/or

-removing, preferably selectively removing, an etch stop layer comprising or consisting of one or more aluminium compounds from the surface of the semiconductor substrate, and/or

-removing post-etch or post-ash residues from the surface of the semiconductor substrate,

preferably in a one-step process.

14. The packaging is carried out in a set of packages,

preferably for removing post-etch or post-ash residues from and/or for etching, preferably oxidizing etching or partial etching, preferably partially oxidizing etching comprising a material selected from the group consisting of Ti, TiN, Ta, TaN, Al and HfO, on a surface of a semiconductor substratexAnd/or a layer or mask comprising or consisting of a material selected from tungsten carbide and tungsten nitride, preferably a layer or mask comprising or consisting of TiN, and/or for etching, preferably selectively etching, a layer comprising or consisting of one or more aluminium compounds, in the presence of a layer of a low-k material and/or a layer preferably comprising tungsten, more preferably underlying tungsten and/or a layer comprising copper and/or cobalt on a surface of a semiconductor substrate,

which comprises as separate components:

(K1) the cleaning composition of any one of claims 1-5; and

(K2) one or more oxidizing agents selected from the group consisting of hydrogen peroxide, urea peroxide, peroxydisulfuric acid, ammonium persulfate, peroxymonosulfuric acid, pyrosulfuric acid, ozone, and mixtures thereof; more preferably, one of the one or more oxidizing agents is hydrogen peroxide;

and further optionally comprises as a separate component or in combination with component (K1) and/or with component (K2):

(K3) one or more stabilizers, preferably selected from amine-N-oxides; citric acid; 1-hydroxyethane 1, 1-diphosphonic acid; glycolic acid; lactic acid; (ii) hydroxybutyric acid; glyceric acid; malic acid; tartaric acid; malonic acid; succinic acid; glutaric acid; maleic acid and mixtures thereof.

Example (b):

the following examples are intended to further illustrate and explain the present invention without limiting its scope.

The following abbreviations are used in the examples section:

*: also as a weak acid component of the buffer system (F)

5-Me-BTA: 5-methyl-benzotriazole (as defined above)

b: the balance (to 100 mass%)

A BTA: benzotriazole (unsubstituted)

BDG: butyl diglycol

BTG (BTG): butyl triethylene glycol

CDTA: 1, 2-cyclohexylidene dinitrilo tetraacetic acid

DGMHE: diethylene glycol monohexyl ether

DGMME: diethylene glycol monomethyl ether

DIA: 1, 3-dimethyl-2-imidazolidinone

DiAHP: diammonium hydrogen phosphate

DMSO, DMSO: dimethyl sulfoxide

EDTMP: n, N, N, N-ethylenediaminetetra (methylenephosphonic acid)

EGMBE: ethylene glycol monobutyl ether

EIS: ethyl isopropyl sulfone

NMMO: 4-methylmorpholine-4-oxide

ST: surface tension (measured in mN/m)

TEAH: tetraethylammonium hydroxide

TMAH: tetramethylammonium hydroxide

n.a.: without data

Example 1: preparation of the cleaning compositions of the invention

The following cleaning compositions of the invention (CCI1 to CCI7 and CCI 8 to CCI 15) were prepared by mixing in each case the components (a) to (H), if applicable. Details are given in tables 1a and 1b below. The representation of components (a) to (H) corresponds to the representation of components defined above.

TABLE 1a: cleaning compositions of the invention CCl1 to CCl7

TABLE 1b: cleaning compositions of the invention CCl8 to CCl15

Example 2: preparation of the Wet etching composition of the invention

By reacting the cleaning compositions of the invention CCI1 to CCI7, or CCL8 to CCL11, or CCL15 (see example 1), respectively, in each case with a sufficient amount of hydrogen peroxide (H)2O231%) were mixed to obtain the final concentrations or mass ratios shown in tables 2a and 2b below, thereby preparing the following wet etching compositions of the present invention (weii 1 to weii 7, weii 8 to weii 11, and weii 15) in which "H" was H2O2Mass% "in each case relative to the corresponding cleaning composition used to prepare a wet etch composition(CCI1 to CCI7, CCL8 to CCL11 and CCL15) and wherein "H2O2Mass% "in each case refers to the amount or concentration of pure (undiluted) hydrogen peroxide present in the respective wet etching composition.

TABLE 2a: wet etching compositions WEI 1-WEI 7

TABLE 2b: wet etching compositions WEI 8-WEI 11 and WEI15

Example 3: measurement of etch loss on TiN

The etch loss on the TiN layer caused by the wet etching composition of the invention of example 2 was determined according to or similar to the method described in document WO 2015/173730a 1. The wet etch composition was prepared by mixing the corresponding cleaning composition with the amount of hydrogen peroxide immediately prior to performing the etch rate experiments.

Si test wafers with TiN layers (as physical vapor deposition TiN ("PVD TiN") with a thickness of 200-300nm) were selected from a suitable commercial source and broken into smaller samples. The layer thickness and the etching rate are then measured by X-ray fluorescence analysis (XRF) in a manner known per se. XRF is suitable for non-contact and non-destructive measurement of the thickness of thin layers and for determining their chemical composition. For this measurement, the X-ray source and the detector are located on the same side of the sample. When a layer on a substrate is subjected to X-rays, if the layer is thin enough, the radiation will penetrate the layer to a certain extent, depending on the thickness, and in turn cause characteristic fluorescent radiation in the material of the underlying substrate. On its way to the detector, the fluorescent radiation will be attenuated by absorption in this layer. The thickness of the layer may be determined based on the intensity decay of the fluorescent radiation of the substrate material.

To determine the initial film or layer thickness of the suitable material, an XRF protocol was created for the original wafer based on the reported layer thicknesses from the supplier and verified with a Transmission Electron Microscope (TEM) cross section.

The wet etch composition was then heated to the test temperature (59 ℃ for the experiment of example 3) and mechanically stirred. The wafer sample was mounted on a mechanical fixture and contacted with the wet etch composition in a beaker for a reaction time (1 minute for this example 3 experiment). Subsequently, the sample was removed from the wet etch composition and cleaned with ultrapure water or isopropanol or a mixture of ultrapure water and isopropanol for about 1 minute. The sample was then dried with nitrogen. The remaining thickness of the TiN layer after etching was again measured as described above and the etch loss was calculated by subtracting the layer thickness after contact with the wet etch composition from the thickness of the same layer before contact with the test composition. The results of this test (etch loss of TiN layer) are shown in table 3 below. All etch loss values measured in examples 3-5 were as follows, unless otherwise notedIt is given.

xExample 4: measurement of etch loss on aluminum oxide (AlO), cobalt and copper

With an outer layer of a suitable type (thickness of Co layer 25-200 nm; AlO)xThe thickness of the layer is about 20 nm; all outer layers associated with performing etch loss experiments are sufficiently thick to allow meaningful measurements to be obtained after the etch process) are obtained from commercial sources. If applicable, the test wafers are pretreated: cu and Co were each immersed in an oxalic acid solution for 20-30 seconds, then rinsed with water and dried. AlO (aluminum oxide)xThe coated surface was not pretreated.

Aluminum oxide (AlO)x) The coated surface is used as a representative model for a layer (as defined above) comprising or consisting of one or more aluminium compounds.

Wet etch compositions (see example 2 and table 2 above) were prepared and tested at a temperature of 59 ℃The wafer (see above) was contacted with the wet etch composition in a glass beaker at AlOxThe reaction time was 10 minutes in the case of the surface and Cu surface and 5 minutes in the case of the cobalt surface, and then removed from the wet etch composition, rinsed with water or isopropanol, and dried with nitrogen.

The thickness of the copper, cobalt and aluminum oxide layers on the test wafers before and after contact with the test composition was determined by X-ray fluorescence analysis (as explained in example 3 above). The experiments were performed at least three times to ensure reproducibility.

In each case, the copper, cobalt or AlO prior to being contacted with the wet etch compositionxThe thickness measurement of the layer is subtracted with the same copper, cobalt or AlO, respectively, after contact with the wet etch compositionxThe difference in the thickness measurements of the layers was determined as the etch loss of the resulting layers, respectively (as explained in example 3 above). The results of this test (Cu, Co or AlO respectively)xEtch loss of layer) is shown in table 3 below (each given value in table 3 represents an average of at least three experiments).

Example 5: measuring etch loss on an underlying tungsten layer

Preparation of a layer having (i) a Low-k Material (Top layer, layer thickness)) (ii) an etch stop layer (AlO) consisting of one or more aluminum compoundsxLayer as the first lower layer below the top layer, layer thickness) And (iii) a tungsten ("lower W") layer (tungsten-containing metal layer, layer thicknessAs a second lower layer below the first lower layer and juxtaposed on the Si test wafer surface). The Si test wafer stack thus prepared comprises (in the given order): (i) a low-k material layer, (ii) an etch stop layer, (iii) a tungsten layer, and (iv) Si to test the surface of the wafer, e.g., toThe surface of the Si test wafer was sealed on all sides in such a way that the wet etching composition applied to the top layer (i) of the stack (as was done in this experiment) could only be in contact with the tungsten layer (iii) by (penetrating or diffusing) through (i) the top layer and (ii) the etch stop layer (first lower layer).

The Si test wafer stack was then etched by contacting it with a wet etch composition prepared according to example 2 above in an etching process equivalent to that described in example 3 above (test temperature applied in this example 5 was 59 ℃, reaction time applied in this example 5 was 1 minute). The thicknesses before and after etching of the tungsten layer were then determined according to the method explained in example 3 above, and the corresponding etching loss of the tungsten layer was calculated as explained in example 3. The results of this test are shown in table 3 below.

TABLE 3: results of etch testing of an underlying tungsten layer with a wet etch composition of the invention

From the results shown in table 3 above, it can be seen that at least the wet etching compositions WEI1, WEI4, and WEI5 are directed to TiN (for selectively etching a hardmask comprising or consisting of TiN) and AlOx(for selectively removing an etch stop layer comprising or consisting of one or more aluminum compounds), Cu (for retaining as much as possible any copper present in the etching step), and Co (for retaining as much as possible any copper present in the etching step) have excellent etch rate selectivity. It can thus be concluded that all wet etching compositions WEI1 to WEI7 are suitable for application in a method for manufacturing a10 nm structure or a sub-10 nm structure, for example a 7nm structure, on a semiconductor substrate, comprising 1 triple removal step of the above method.

From the results shown in table 3 above, it can further be seen that the wet etching compositions of the present invention, WEI4, WEI5, WEI6 and WEI7 (all showing beneficial etch losses <10nm on the underlying W layer under the test conditions of example 5) are also well suited for application to a process for the fabrication of 7nm structures or sub-7 nm structures, e.g., 5nm structures, on semiconductor substrates, comprising 1 triple removal step of the above process.

Example 6: measurement of etch loss on TiN as a function of aging of wet etch composition

Similarly to example 3 above, the TiN etching losses caused by the wet etching compositions of the invention of example 2 above, WEI8 to WEI11 and WEI15 (see table 2b) were determined according to or similarly to the method described in document WO 2015/173730a 1.

In inventive example 6, a Si test wafer (12 inches) having a TiN layer (the thickness of the TiN layer is about 300nm as PVD TiN) was loaded into 100mL of the wet etching composition described in table 4 below and held at a temperature of 60 ℃ in each case for the time intervals described in table 4 below. After each time interval, the remaining thickness of the TiN layer on the test wafer was determined and compared to the thickness of the TiN layer of the same test wafer at the beginning of the experiment, as explained in example 3 above. The residual activity of the wet etch composition tested in this experiment after a certain time interval is then given in the form of the etch rate in angstroms per minute (a/min) ((b))Per minute), which is common in the field. The results of this experiment are shown in table 4 below (the values shown in table 4 are within the measurement accuracy of the method used).

TABLE 4: results of etch testing of TiN layers using the wet etching composition of the present invention are related to aging of the wet etching composition

As can be seen from the results shown in table 4 above, the wet etching composition of the present invention provides a stable etching rate for TiN layers over an extended period of time, particularly when containing an alkyl sulfone compound of formula IV (only) as the polar aprotic organic solvent (C), and more particularly when containing sulfolane or ethyl isopropyl sulfone (but not an alkyl glycol ether (E)) as the polar aprotic organic solvent (C). In this experiment, the etch rate of the wet etch composition of the invention (see, e.g., WEI8 and WEI9) performed on TiN layers was stable and did not decrease (within the measurement accuracy of the test method) over a period of at least 24 hours.

It can also be seen from the results shown in table 4 above that the wet etch composition comprising one or more alkyl glycol ethers (E) as defined herein, but without the polar aprotic organic solvent (C), provides a stable etch rate for TiN layers in a slightly shorter time.

As can be further seen from the results shown in table 4 above, the wet etch composition comprising one or more alkyl glycol ethers (E) and a polar aprotic organic solvent (C) as defined herein, but not an alkyl sulfone compound of formula IV as defined herein, provides a stable etch rate for TiN layers in a slightly shorter period of time.

Furthermore, as can be seen from the results shown in table 4 above, the etch rate of a wet etch composition comprising one or more alkyl glycol ethers (E) as defined herein and 1, 3-dimethyl-2-imidazolidinone as polar aprotic organic solvent (C) as defined herein (but without the alkyl sulfone compound of formula IV as defined herein, see e.g. WEI15) shows that the etch rate of the TiN layer is at a level almost comparable to the etch rate of a wet etch composition comprising the alkyl sulfone compound of formula IV as polar aprotic organic solvent (C) over a period of at least 24 hours.

Example 7: measurement of particle count in the nanometer to micrometer range in cleaning compositions

Cleaning compositions CCl12, CCl13, and CCl14 (each 200mL) were prepared as described above in example 1 and filtered. After filtration, the amount of liquid particles with a particle size of 0.15 μm, 0.2 μm, 0.3 μm and 0.5 μm was determined by means of a liquid particle counter (Rion KS 40A or Rion KS 19F, Rion Co., Ltd., JP).

In this experiment it was found that cleaning composition CCl14 contained the lowest amount of particles in all particle size classes (see above), followed by cleaning composition CCl13, followed by cleaning composition CCl 12. From this result, it can be concluded that cleaning composition CCl14, which comprises the alkyl sulfone compound of formula IV (sulfolane) as polar aprotic organic solvent (C), has the most beneficial inhibitory effect on particle aggregation of the tested cleaning compositions.

Example 8: measurement of particle count in the nanometer to micrometer range on semiconductor substrate surface

A cleaning composition according to the invention was prepared (see example 1 above) and applied to the surface of a full 300mm non-patterned wafer (SiO surface). The wafer is then fully processed, including rinsing and drying. Subsequently, a commercially available non-patterned wafer surface inspection system (KLA Tencor Corp., USA: respectivelySP3, SP5, or SP7) inspect the surfaces of the processed wafers to detect particle counts on their surfaces.

It was found that the wafers treated with the cleaning composition CCl1 in this experiment exhibited a particularly low particle count on their surface after treatment.

xExample 9: measurement of etch loss on an aluminum oxide (AlO) layer as a function of pH of a wet etch composition Measurement of

Analogously to example 4 above, AlO with 3 different types was obtainedxAn outer test wafer.

A wet etch composition (see example 2 and table 2 above) is prepared and the etch rate of the wet etch composition is determined at a temperature of 60 ℃ as explained in example 4 above or similar to the method described in example 4 above.

As explained in example 3 or example 4 above, or similar to these methods, the etch rates of the wet etch compositions of the invention were determined on two different sets of wafer surfaces: carrying 3 different types of AlO on a set of wafer surfacesxOuter layer in which no plasma etching was performed (control), and in another groupThe wafer surface bears 3 different types of AlOx outer layers on which plasma etching has been performed. The results of this experiment are shown in table 5 below.

TABLE 5: different types of AlO as a function of pH of wet etch compositionxEtching test results on the outer layer

Wet etch compositions WEI1 and WEI8 were prepared as described above (see examples 1 and 2). The wet etching composition WEI16 was prepared similarly to WEI1 from the same cleaning composition as CCl1 except that the cleaning composition used to prepare the wet etching composition WEI16 had a lower content of tetraethylammonium hydroxide (TEAH), ranging from 0.1 to 0.4 mass% relative to the total mass of the cleaning composition. The wet etch composition WEI16 had a pH of 6.5.

From the results of Table 5 above, it can be seen that wet etching compositions of the present invention having a pH of 6 to 9, preferably 6.5 to 8.0, applied to different types of layers containing aluminum compounds (different types of AlO)xOuter layer) that exhibits an etch rate that makes the wet etching composition well suited for very controlled and specific etching of layers comprising or consisting of one or more aluminum compounds.

38页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:基板图案填充组合物及其使用

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!