Method for forming semiconductor device

文档序号:832126 发布日期:2021-03-30 浏览:25次 中文

阅读说明:本技术 半导体装置的形成方法 (Method for forming semiconductor device ) 是由 林士豪 徐梓翔 连崇德 杨思齐 苏信文 黄志翔 于 2020-09-25 设计创作,主要内容包括:一种半导体装置的制造方法,包括个别在基板的第一和第二区域中形成第一和第二半导体鳍片;在第一和第二半导体鳍片上方个别形成第一和第二冗余栅极堆叠,并且在第一和第二冗余栅极堆叠上方形成间隔物层;沿着在第一区域中的间隔物层形成具有厚度的第一图案层;沿着第一图案层形成第一源极/漏极沟槽并在其中外延成长第一外延特征;移除第一图案层以暴露间隔物层;沿着在第二区域中的间隔物层形成具有不同厚度的第二图案层;沿着第二图案层形成第二源极/漏极沟槽并在其中外延成长第二外延特征;以及移除第二图案层以暴露间隔物层。(A method of manufacturing a semiconductor device includes forming first and second semiconductor fins in first and second regions of a substrate, respectively; forming first and second redundant gate stacks over the first and second semiconductor fins, respectively, and forming a spacer layer over the first and second redundant gate stacks; forming a first pattern layer having a thickness along the spacer layer in the first region; forming a first source/drain trench along the first pattern layer and epitaxially growing a first epitaxial feature therein; removing the first pattern layer to expose the spacer layer; forming a second pattern layer having a different thickness along the spacer layer in the second region; forming a second source/drain trench along the second pattern layer and epitaxially growing a second epitaxial feature therein; and removing the second pattern layer to expose the spacer layer.)

1. A method of forming a semiconductor device, comprising:

providing a semiconductor structure having a first semiconductor fin in a first region of a substrate and a second semiconductor fin in a second region of the substrate, a first redundant gate stack over the first semiconductor fin, a second redundant gate stack over the second semiconductor fin, and a spacer layer over the first and second redundant gate stacks;

forming a first pattern layer having a first thickness along sidewalls of the spacer layer in the first region;

etching the first semiconductor fin along the sidewalls of the first pattern layer to form a first source/drain trench;

epitaxially growing a first epitaxial feature in the first source/drain trench;

removing the first pattern layer to expose the spacer layer;

forming a second pattern layer having a second thickness along the sidewall of the spacer layer in the second region, wherein the second thickness is different from the first thickness;

etching the second semiconductor fin along the sidewalls of the second pattern layer to form a second source/drain trench;

epitaxially growing a second epitaxial feature in the second source/drain trench; and

the second pattern layer is removed to expose the spacer layer.

Technical Field

The present disclosure relates to a semiconductor device, and more particularly, to a semiconductor device that improves a read/write margin of an SRAM cell.

Background

Static Random Access Memory (SRAM) is commonly used in Integrated Circuits (ICs). SRAM has the advantage of retaining data without requiring refreshing. An SRAM cell typically includes two P-type pull-up (PU) transistors, two N-type pull-down (PD) transistors, and two N-type pass-gate (PG) transistors. The PD transistors and PU transistors form cross-coupled inverters. The performance of an SRAM cell can be evaluated by the read/write margin (read/write margin) of the SRAM cell. Specifically, read performance is associated with the PD and PG transistors, and write performance is associated with the PU and PG transistors.

Due to the active scaling of integrated circuit enterprises, three-dimensional transistors, such as fin-like field-effect transistors (finfets) and/or gate-all-around (GAA) transistors, have been introduced into SRAM processes. While existing FinFET or GAA devices and methods of making them have been generally adequate for their intended purposes, they have not been entirely satisfactory in all respects. For example, it has been observed that FinFET or GAA SRAM cells have small read/write margins due to the stronger PU performance compared to PD performance. Therefore, improvements are needed.

Disclosure of Invention

The present disclosure provides a method of forming a semiconductor device. A method of forming a semiconductor device includes providing a semiconductor structure having a first semiconductor fin in a first region of a substrate and a second semiconductor fin in a second region of the substrate, a first redundant gate stack over the first semiconductor fin, a second redundant gate stack over the second semiconductor fin, and a spacer layer over the first redundant gate stack and the second redundant gate stack; forming a first pattern layer having a first thickness along sidewalls of the spacer layer in the first region; etching the first semiconductor fin along the plurality of sidewalls of the first pattern layer to form a first source/drain trench; epitaxially growing a first epitaxial feature in the first source/drain trench; removing the first pattern layer to expose the spacer layer; forming a second pattern layer having a second thickness along sidewalls of the spacer layer in the second region, wherein the second thickness is different from the first thickness; etching the second semiconductor fin along the sidewalls of the second pattern layer to form a second source/drain trench; epitaxially growing a second epitaxial feature in the second source/drain trench; and removing the second pattern layer to expose the spacer layer.

The present disclosure provides a method of forming a semiconductor device. A method of forming a semiconductor device includes providing a semiconductor structure having a first semiconductor layer stack in a first region of a substrate and a second semiconductor layer stack in a second region of the substrate, a first redundant gate stack over the first semiconductor layer stack, a second redundant gate stack over the second semiconductor layer stack, and a spacer layer over the first redundant gate stack and the second redundant gate stack, wherein each of the first semiconductor layer stack and the second semiconductor layer stack includes a first semiconductor layer and a second semiconductor layer, each of the first semiconductor layer stack and the second semiconductor layer stack including a different material; forming a first pattern layer having a first thickness along sidewalls of the spacer layer over the first redundant gate stack; etching the first semiconductor layer stack along the plurality of sidewalls of the first pattern layer to form a first source/drain trench; epitaxially growing a first epitaxial feature in the first source/drain trench; removing the first pattern layer to expose the spacer layer; forming a second pattern layer having a second thickness over sidewalls of the spacer layer over the second redundant gate stack, wherein the second thickness is less than the first thickness; etching the second semiconductor layer stack along sidewalls of the second pattern layer to form second source/drain trenches; epitaxially growing a second epitaxial feature in the second source/drain trench; removing the second pattern layer to expose the spacer layer; and forming a metal gate structure surrounding each of the first semiconductor layers.

The present disclosure provides a semiconductor device. The semiconductor device includes first and second semiconductor fins, first and second metal gate structures, first and second spacers, first and second epitaxial features. The first semiconductor fin is over a first region of the substrate. The second semiconductor fin is over a second region of the substrate. A first metal gate structure is over the first semiconductor fin. The second metal gate structure is over the second semiconductor fin. The first spacers are along sidewalls of the first gold gate structure. The second spacers are along sidewalls of the second metal gate structure. The first epitaxial feature is over the first semiconductor fin. The second epitaxial feature is over the second semiconductor fin. The first epitaxial feature includes a first epitaxial layer and a second epitaxial layer formed over the first epitaxial layer, the second epitaxial feature includes a third epitaxial layer and a fourth epitaxial layer formed over the third epitaxial layer, and a first ratio of a height of the second epitaxial layer to a height of the first epitaxial feature is less than a second ratio of a height of the fourth epitaxial layer to a height of the second epitaxial feature.

Drawings

The present disclosure can be best understood from the following examples and drawings. It should be understood that the drawings are exemplary and that various features are not illustrated herein. The dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion.

Fig. 1 shows a flow diagram of an example method for fabricating an example semiconductor device, according to some embodiments of the present disclosure.

Fig. 2 shows a three-dimensional schematic diagram of an example semiconductor device, according to some embodiments of the present disclosure.

Fig. 3A, 4A, 5A, 6A, 7A, 8A, 10A, 11A, 12A, 13A, 14A, and 15A illustrate cross-sectional views of an example semiconductor device along line a-a' in fig. 2 at an intermediate station of the example method of fig. 1, in accordance with some embodiments of the present disclosure.

Fig. 3B, 4B, 5B, 6B, 7B, 8B, 10B, 11B, 12B, 13B, 14B, and 15B illustrate cross-sectional views of an example semiconductor device along line B-B' in fig. 2 at an intermediate station of the example method of fig. 1, in accordance with some embodiments of the present disclosure.

Fig. 3C, 4C, 5C, 6C, 7C, 8C, 10C, 11C, 12C, 13C, 14C, and 15C illustrate cross-sectional views of an example semiconductor device along line C-C' in fig. 2 at an intermediate station of the example method of fig. 1, in accordance with some embodiments of the present disclosure.

Fig. 9A shows an enlarged view of the box K in fig. 8C.

FIG. 9B is a graph showing the relationship between PMOS performance, Δ Vccmin (minimum supply voltage variation) and the size of the first epitaxial layer of PMOS.

Fig. 16A and 16B show enlarged views of the block E and the block F in fig. 15B and 15C, respectively.

Fig. 17 illustrates a flow chart of another example method for fabricating another example semiconductor device, according to some embodiments of the present disclosure.

Fig. 18 shows a three-dimensional schematic view of another example semiconductor device, according to some embodiments of the present disclosure.

Fig. 19A, 20A, 21A, 22A, 23A, 24A, 25A, 26A, 27A, 28A, and 29A illustrate cross-sectional views of another example semiconductor device along line a-a' in fig. 18 at an intermediate station of the example method of fig. 17, in accordance with some embodiments of the present disclosure.

Fig. 19B, 20B, 21B, 22B, 23B, 24B, 25B, 26B, 27B, 28B, and 29B illustrate cross-sectional views of another example semiconductor device along line B-B' in fig. 18 at an intermediate station of the example method of fig. 17, in accordance with some embodiments of the present disclosure.

Fig. 19C, 20C, 21C, 22C, 23C, 24C, 25C, 26C, 27C, 28C, and 29C illustrate cross-sectional views of another example semiconductor device along line C-C' in fig. 18 at an intermediate station of the example method of fig. 17, in accordance with some embodiments of the present disclosure.

Fig. 30A and 30B show enlarged views of the block G and the block H in fig. 29B and 29C, respectively.

Wherein the reference numerals are as follows:

100: method of producing a composite material

102-120: operation of

200: device for measuring the position of a moving object

A-A ', B-B ', C-C ': line segment

K, E, F: square frame

202: substrate

204: isolation structure

210: fin plate

220: redundant gate structure

222: polycrystalline silicon layer

224: hard mask

226: hard mask layer

228: spacer layer

202-P: PFET region

202-N: NFET region

230A: patterned layer

232: hard mask layer

234: patterned photoresist layer

236P: source/drain trench

240P: epitaxial source/drain features

T1: thickness/distance/push-in length

D1: depth/height

W1: width of

240P-1: first epitaxial layer/P type epitaxial layer

240P-2: second epitaxial layer/P type epitaxial layer

H1, H2: height

230B: patterned layer

T2: thickness/distance/push-in length

236N: source/drain trench

240N: epitaxial source/drain features

242: interlayer dielectric layer

244: metal gate structure

246: contact/via

248: interlayer dielectric layer

250: metal wire

240N-1, 240N-2: n-type epitaxial layer

D2: depth/height

Height: h3, H4

W2: width of

1700: method of producing a composite material

1702, 1706, 1714: operation of

1800: device for measuring the position of a moving object

1810: semiconductor layer stack

1810A, 1810B: semiconductor layer

1836P: first source/drain trench

D3: depth/height

W3: width of

1838: internal spacer

1840P: epitaxial source/drain features

1840N: epitaxial source/drain features

D4: depth/height

W4: width of

1844: metal gate structure

G, H: square frame

1840P-1, 1840P-2: p-type epitaxial layer

1840N-1, 1840N-2: n-type epitaxial layer

H5, H6: height

H7, H8: height

Detailed Description

The present disclosure provides many different embodiments, or examples, for implementing different features of the disclosure. The following disclosure describes specific embodiments of various components and arrangements thereof to simplify the description. Of course, these specific examples are not intended to be limiting. For example, if the disclosure recites a first feature formed on or above a second feature, that embodiment may include that the first feature is in direct contact with the second feature, embodiments may also include that additional features are formed between the first and second features, such that the first and second features may not be in direct contact.

In addition, the same reference numbers and/or designations may be reused in various embodiments of the disclosure below. These iterations are for simplicity and clarity and are not intended to limit the particular relationship between the various embodiments and/or configurations discussed. Further, forming a feature over, connecting to, and/or coupling with another feature in the disclosure that follows may include embodiments in which the features are formed in direct contact, and may also include embodiments in which additional features may be formed interposing the features, such that the features may not be in direct contact. Additionally, spatially relative terms, such as "below," "above," "horizontal," "vertical," "above," "… above," "below," "under …," "up," "down," "top," "bottom," and the like, as well as derivatives thereof (e.g., "horizontally," "downwardly," "upwardly," etc.), are used to facilitate the relationship of one feature of the disclosure to another feature. These spatially relative terms are intended to encompass different orientations of the device with the desired characteristics. Further, when a number or range of numbers is described as "about", "approximately", etc., the term is intended to encompass numbers within a reasonable range including the recited number, such as a number within +/-10% or other number as understood by one of skill in the art. For example, the term "about 5 nm" includes a size range of 4.5nm to 5.5 nm.

The present disclosure relates generally to semiconductor devices and their manufacture, and more particularly to methods of manufacturing semiconductor devices having adjustable epitaxial structures.

One type of three-dimensional semiconductor device that has been introduced is a FinFET. Finfets are known for their fin structure, which extends from a substrate and is used to form a field-effect transistor (FET) channel. Another example of a three-dimensional semiconductor device is known as a "gate-all-around" (GAA) device, whose channel structure includes multiple semiconductor channel layers, and the gate structure extends around the channel layers and provides access to the channel region on all sides. Both FinFET and GAA transistors are used for SRAM fabrication. Some SRAM cells suffer from poor write performance due to their unbalanced PU and PD performance. In particular, their PU transistors perform better than their PD transistors. The PU transistors of the SRAM are P-type transistors (e.g., P-type finfets or P-type GAA transistors), and the performance of the P-type transistors is typically related to the thickness of the highly doped epitaxial source/drain (S/D) features. Therefore, reducing the thickness of the highly doped epitaxial source/drain features would impair the performance of the PU transistors and improve the read/write margins of the SRAM.

In some embodiments of the present disclosure, the patterned layer is used as a push-in mask (push-in mask) to adjust the width of the S/D trench, thereby adjusting the thickness of the multiple epitaxial S/D layers grown in the S/D trench. For example, the greater the thickness of the pattern layer, the greater the push-in length (push-in extension) of the S/D groove, and the smaller the width of the S/D groove. Here, the push-in length of the S/D trench is defined as the distance between the sidewall of the S/D trench and the sidewall of the nearest spacer layer. In addition, the multiple epitaxial S/D layers may be tailored to have different dopant concentrations and different thicknesses between different layers. All of this helps to tune the performance (read/write margin) of the SRAM.

Fig. 1 shows a flow diagram of a method 100 for forming a semiconductor device (hereinafter device 200) according to some embodiments of the present disclosure. The method 100 is merely an example and is not intended to limit the present disclosure beyond the scope explicitly recited in the claims. Other operations may be performed before, during, and after the method 100, and some of the operations described may be replaced, removed, or moved for other embodiments of the method. The method 100 is described below in conjunction with other figures that show various three-dimensional schematic and cross-sectional views of the apparatus 200 during intermediate steps of the method 100.

FIG. 2 shows a three-dimensional schematic view of an apparatus 200 according to some embodiments of the present disclosure; FIGS. 3A-8A and 10A-15A show cross-sectional views (i.e., in the y-z plane) of the device 200 taken along line A-A' in FIG. 2; FIGS. 3B-8B and 10B-15B show cross-sectional views (i.e., in the x-z plane) of the device 200 taken along line B-B' in FIG. 2; and figures 3C-8C and 10C-15C show cross-sectional views (i.e., in the x-z plane) of the device 200 taken along line C-C' in figure 2. FIG. 9A is an enlarged view of block K of FIG. 8C; and FIGS. 16A and 16B show enlarged views of blocks E and F in FIGS. 15B and 15C, respectively. Device 200 generally refers to any fin-based device that may be included in a microprocessor, memory unit, and/or other IC device. In some embodiments, device 200 is part of a chip, system on chip (SoC), or a portion thereof, including passive and active microelectronic devices such as resistors, capacitors, inductors, diodes, P-type field effect transistors (PFETs), N-type field effect transistors (NFETs), metal-oxide semiconductor field effect transistors (MOSFETs), complementary metal-oxide semiconductor (CMOS) transistors, Bipolar Junction Transistors (BJTs), laterally diffused MOS transistors, high voltage transistors, high frequency transistors, other suitable components, or a combination thereof. In the embodiment shown, the apparatus 200 comprises a portion of an SRAM cell. Fig. 2, 3A-16B have been simplified for clarity to better understand the present disclosure. Additional features may be added to the apparatus 200 and some of the functions described below may be replaced, modified or removed in other embodiments of the apparatus 200.

Referring to fig. 1, 2, and 3A to 3C, in operation 102, a device 200 is received. The apparatus 200 includes a substrate 202. In the illustrated embodiment, the substrate 202 is a bulk silicon substrate. Alternatively or additionally, the substrate 202 comprises another single crystal semiconductor, such as germanium; a compound semiconductor; an alloy semiconductor; or a combination thereof. Alternatively, the substrate 202 is a semiconductor-on-insulator substrate, such as a silicon-on-insulator (SOI) substrate, a silicon germanium-on-insulator (SGOI) substrate, or a germanium-on-insulator (GOI) substrate. The substrate 202 may be doped with different dopants to form various doped regions therein. For example, the substrate 202 may include a PFET region 202-P configured for a P-type Metal Oxide Semiconductor (MOS) FET (PFET) (e.g., the PFET region 202-P may include an N-type doped substrate region (e.g., an N-well)) and an NFET region 202-N configured for an N-type MOS FET (NFET) (e.g., the NFET region 202-N may include a P-type doped substrate region (e.g., a P-well)).

The device 200 also includes semiconductor fins (hereinafter fins 210) that protrude from the substrate 202 in the PFET region 202-P and the NFET region 202-N, respectively. The fins 210 are substantially parallel to each other in the longitudinal direction. Each fin 210 has at least one channel region, at least one source region, and at least one drain region defined along their lengths in the x-direction, with the at least one channel region being covered by the gate stack and disposed between the source and drain regions (both referred to as S/D regions). In some embodiments, the fin 210 is a portion of the substrate 202 (e.g., a portion of a material layer of the substrate 202). For example, in the embodiment shown, wherein the substrate 202 comprises silicon, the fins 210 comprise silicon and are formed by etching the substrate 202. Alternatively, in some embodiments, the fins 210 are defined in a layer of material overlying the substrate 202, such as one or more layers of semiconductor material. For example, the fin 210 may include a semiconductor layer stack having various semiconductor layers (e.g., heterostructures) disposed over the substrate 202 (as shown in fig. 18, 19A-30B, which will be discussed later).

The fins 210 are formed by any suitable process including various deposition, lithography, and/or etching processes. For example, patterned mask elements are formed over the substrate 202 by a photolithography process. The fin structure is then etched into the substrate 202 using a masking element. The areas not protected by the mask element are etched using a Reactive Ion Etching (RIE) process and/or other suitable processes. In some embodiments, the fin 210 is formed by patterning and etching a portion of the substrate 202. In some other embodiments, the fin 210 is formed by patterning and etching a silicon layer deposited over an insulating layer (e.g., the upper silicon layer of a silicon-insulator-silicon stack of an SOI substrate). As an alternative to conventional lithography, the fin 210 may be formed by a double-patterning lithography (DPL) process. It will be appreciated that a plurality of parallel fins 210 are formed in a similar manner.

The apparatus 200 also includes an isolation structure 204 disposed over the substrate 202. The isolation structures 204 electrically isolate active and/or passive device regions of the device 200. The isolation structure 204 may be configured as a different structure, such as a Shallow Trench Isolation (STI) structure, a Deep Trench Isolation (DTI) structure, a local oxidation of silicon (LOCOS) structure, or a combination thereof. The isolation structure 204 comprises an isolation material, such as silicon dioxide (SiO)2) Silicon nitride (Si)3N4) Silicon oxynitride (SiON), other suitable isolation materials (e.g.: including silicon, oxygen, nitrogen, carbon, and/or other suitable barrier components), or combinations thereof. The isolation structure 204 is formed by depositing a dielectric isolation layer and then performing an etch-back process such that the isolation structure 204 only surrounds the bottom of the fin 210 to isolate the device region of the device 200.

The device 200 also includes one or more redundant gate structures 220 disposed over the fins 210 and the substrate 202. Each of the redundant gate structures 220 serves as a place holder for subsequently formed metal gate structures. The redundant gate structures 220 engage the respective channel regions of the fins 210 so that current may flow between the respective S/D regions of the semiconductor fins 210 during operation. As shown in fig. 2, redundant gate structures 220 extend along the y-direction and traverse individual fins 210. In some embodiments, each of the redundant gate structures 220 may include a polysilicon (or poly) layer 222 over the fin 210, a hard mask layer 224 (e.g., comprising silicon nitride (Si) over the polysilicon layer 2223N4) Or silicon carbon nitride (SiCN)), and another hard mask layer 226 over the hard mask layer 224 (e.g.: comprising SiO2). In some embodiments, the hard mask layer 224 and the hard mask layer 226 comprise different dielectric materials. For example, the hard mask layer 224 includes silicon nitride (Si)3N4) Or silicon carbon nitride (SiCN), andthe hard mask layer 226 comprises silicon dioxide (SiO)2). In some embodiments, redundant gate structure 220 may include other material layers, such as an interfacial layer, a barrier layer, other suitable material layers, or combinations thereof. The redundant gate structure 220 is formed by a process including deposition, lithography, etching, other suitable processes, or a combination thereof.

Referring to fig. 2 and 3A-3B, a spacer layer 228 is disposed over the redundant gate structure 220, the fin 210, and the isolation structure 204. In some embodiments, the spacer layer 228 includes silicon, oxygen, carbon, nitrogen, other suitable materials, or combinations thereof (e.g., silicon dioxide (SiO)2) Silicon nitride (Si)3N4) Silicon oxynitride (SiON), silicon carbon nitride (SiCN) or silicon carbide (SiC) and/or silicon oxycarbonitride (SiOCN)). In some embodiments, the spacer layer 228 is formed by deposition, such as Chemical Vapor Deposition (CVD), Physical Vapor Deposition (PVD), Atomic Layer Deposition (ALD), other suitable processes, or combinations thereof.

Referring to fig. 1 and 4A-4C, in operation 104, a pattern layer 230A is deposited over the spacer layer 228. In some embodiments, the pattern layer 230A includes a material that can provide a different etch selectivity than the spacer layer 228. For example, spacer layer 228 comprises silicon and nitrogen, and patterned layer 230A also comprises silicon and nitrogen (e.g., silicon nitride (Si)3N4) However, the nitrogen concentration in the pattern layer 230A is different from the nitrogen concentration in the spacer layer 228. For example, the pattern layer 230A has less nitrogen than the isolation layer 228. In some embodiments, the patterned layer 230A is formed by a suitable deposition process, such as CVD, PVD, ALD, other suitable processes, or combinations thereof. In some embodiments, the pattern layer 230A has a thickness T1 along the sidewalls of the spacer layer 228. Since the patterned layer 230A is used as a mask for forming the S/D trench 236P (see fig. 6C), the thickness T1 of the patterned layer 230A defines the push-in length of the S/D trench 236P (i.e., the distance between the sidewall of the S/D trench and the sidewall of the nearest spacer layer). Thus, the dimensions of the S/D trenches 236P may be adjusted and the first and second layers of the epitaxial S/D features may be changedTo achieve a desired FET performance. In some embodiments, the thickness T1 is about 6nm to about 10nm, depending on the design of the device 200.

Referring to fig. 1 and 5A-5C through 7A-7C, in operation 106, S/D trenches are formed over a first region of a substrate 202. In the embodiment shown, S/D trenches 236P are formed over the PFET region 202-P. The S/D trenches 236P are formed by various processes including deposition, lithography and/or etching processes. Referring to fig. 5A-5C, first, a hard mask layer 232 is deposited over the NFET region 202-N. In some embodiments, the hard mask layer 232 may be a single dielectric layer or may include multiple dielectric layers. Thereafter, a patterned photoresist layer 234 is formed over the hard mask layer 232 and used as a mask to etch the hard mask layer 232 to cover the NFET region 202-N such that the following etch process is performed only in the PFET region 202-P. The patterned photoresist layer 234 may then be removed.

Referring to fig. 6A-6C, S/D trenches 236P are formed in the PFET region 202-P. In some embodiments, an anisotropic etch process is first performed to remove portions of the patterned layer 230A in the x-y plane. Another anisotropic etch process is then performed to remove portions of the spacer layer 228 in the x-y plane. Thereby exposing the top surface of fin 210 in PFET region 202-P. The anisotropic etching process to remove portions of the patterned layer 230A and the spacer layer 228 may include dry etching, wet etching, other etching methods, or a combination thereof. For example, where both the pattern layer 230A and the spacer layer 228 comprise silicon and nitrogen, but the nitrogen concentration is different, the etch process may comprise a main dry etch (e.g., using carbon tetrafluoride (CF)4) And hydrogen bromide (HBr) to anisotropically etch the patterned layer 230A and the spacer 228, as well as over-etch (e.g.: using difluoromethane (CH)2F2) To clean the surface of the remaining portion. In some other embodiments, portions of the spacer layer 228 in the x-y plane may be removed prior to depositing the patterned layer 230A, so the patterned layer 230A is deposited over the spacer layer 228 and the top surface of the fin 210 in the PFET region 202-P. Thus, in operation 106, only portions of the patterned layer 230A in the x-y plane need be removed to expose the PFET region 202-PThe top surface of fin 210.

The S/D regions of fin 210 in PFET region 202-P are then etched back to form S/D trenches 236P. In the illustrated embodiment, the fins 210 are etched along the sidewalls of the patterned layer 230A. Since the thickness T1 of the pattern layer 230A is about 6nm to about 10nm, each side of the S/D trench 236P is pushed in the x-direction by a distance T1, as compared to a prior device without the pattern layer 230A. The depth D1 (along the z-direction) of the S/D trench 236P may be controlled by the etch time. In the embodiment shown, the depth D1 of the S/D trench 236P is about 30nm to about 50nm for PU PFETs of SRAM cells. In some embodiments, the S/D trenches 236P are formed by a main etch process (e.g., using chlorine gas (Cl)2) And nitrogen trifluoride (NF)3) About 5 to 15 seconds) to form the shape of the S/D trench 236P, and then performing an isotropic etching process (e.g.: hydrogen bromide (Hbr) and helium (He) gas for about 5 to about 20 seconds) was used to fine tune the shape of the S/D trenches. Referring to fig. 7A to 7C, the hard mask layer 232 is removed by an appropriate process.

Referring to fig. 1 and 8A-8C, in operation 108, epitaxial S/D features 240P (i.e., P-type S/D features) are epitaxially grown in the S/D trenches 236P. The Epitaxial process may implement CVD Deposition techniques (e.g., Vapor-phase epitaxy (VPE), ultra-high vacuum CVD (UHV-CVD), Low Pressure Chemical Vapor Deposition (LPCVD), and/or Plasma-assisted Chemical Vapor Deposition (PECVD)), molecular beam epitaxy, other suitable Selective Epitaxial Growth (SEG) processes, or a combination thereof. In some embodiments, the epitaxial S/D features 240P are doped during deposition by adding impurities to the source material of the epitaxial process. In some embodiments, the epitaxial S/D features 240P are doped by an ion implantation process after the deposition process. In some embodiments, an annealing process is performed to activate the dopants in the epitaxial S/D features 240P of the device 200.

As shown in fig. 8A, in some embodiments, the epitaxial S/D features 240P may have a substantially diamond-shaped cross-section, a portion of which extends over the fin 210. Depending on the lateral distance (along the y-direction) between two adjacent fins 210 and control of the epitaxial growth, the epitaxial S/D features 240P may be formed to have different merged profiles. In the embodiment shown, epitaxial S/D features 240P are grown over the S/D regions of each fin 210 individually. I.e., each epitaxial S/D feature is separated and no epitaxial features are merged (i.e., touching each other). In some other embodiments, the epitaxial S/D features merge together laterally along the y-direction and span more than one fin 210.

The epitaxial S/D features may include semiconductor materials such as, for example, silicon (Si), phosphorous (P), silicon phosphide (Si)3P4) Silicon carbide (SiC), germanium (Ge), silicon germanium (SiGe), one or more III-V materials, compound semiconductors, or alloy semiconductors. In the illustrated embodiment, in the PFET region, the epitaxial S/D features 240P may comprise an epitaxial layer comprising silicon and/or germanium, wherein the silicon-germanium containing epitaxial layer is doped with boron, carbon, other P-type dopants, or combinations thereof (e.g., forming a silicon (Si): germanium (Ge): boron (B) epitaxial layer or a silicon (Si): germanium (Ge): carbon (C) epitaxial layer).

In some embodiments, the epitaxial S/D features 240P comprise one or more epitaxial layers grown in S/D trenches. For example, a first epitaxial layer is deposited on the bottom and sidewall surfaces of the S/D trenches. Additionally, a second epitaxial layer is deposited on the first epitaxial layer in the S/D trenches. In some other embodiments, the third and/or fourth epitaxial layers may be further deposited on the previous epitaxial layer. In some embodiments, the epitaxial S/D features 240P include materials and/or dopants that achieve a desired tensile stress (tensile stress) and/or compressive stress (compressive stress) in the channel region. In various embodiments, the different epitaxial layers of the epitaxial S/D features 240P may comprise the same or different semiconductor materials.

Fig. 9A shows an enlarged view of the epitaxial S/D feature 240P in block K of fig. 8C. Based on fig. 9A, the reason why the thickness of the pattern layer 230A affects the height of the epitaxial layer in the epitaxial S/D features 240P, and thus the PFET performance, is explained. In the illustrated embodiment, the epitaxial S/D features 240P include a first epitaxial layer 240P-1 and a second epitaxial layer 240P-2. In some embodiments, for PThe FET, both the first epitaxial layer 240P-1 and the second epitaxial layer 240P-2 comprise silicon germanium (SiGe) and are doped with boron (B). The atomic concentration of germanium (Ge) and the doping concentration of boron (B) in silicon germanium (SiGe) in the first epitaxial layer 240P-1 is less than the atomic concentration of germanium (Ge) and the doping concentration of boron (B) in silicon germanium (SiGe) in the second epitaxial layer 240P-2. For example, the germanium (Ge) concentration in the first epitaxial layer 240P-1 is about 15 atomic% to about 30 atomic%, and the boron (B) doping concentration in the first epitaxial layer 240P-1 is about 1 × 1020cm-3To about 5X 1020cm-3. The germanium (Ge) concentration in the second epitaxial layer 240P-2 is about 30 atomic% to about 70 atomic%, and the boron (B) doping concentration in the second epitaxial layer 240P-2 is about 5 x 1020cm-3To about 1X 1021cm-3

In some embodiments, the height (thickness) of the first and second epitaxial layers in the epitaxial S/D features 240P depends on the push-in length T1 of the epitaxial S/D features. The push-in length T1 of the epitaxial S/D feature is defined herein as the distance between the sidewall of the epitaxial S/D feature and the sidewall of the nearest spacer layer. In other words, the heights of the first and second epitaxial layers may be adjusted by the different thicknesses of the pattern layer 230A. Referring to fig. 9A, the larger the push-in length T1, the smaller the width W1 of the epitaxial S/D feature 240P and the larger the space the first epitaxial layer 240P-1 occupies the S/D trench. Therefore, as the push-in length T1 becomes larger, the height (thickness) H1 of the first epitaxial layer 240P-1 (i.e., the distance between the bottom point of the second epitaxial layer 240P-2 and the bottom point of the first epitaxial layer 240P-1) becomes larger. In other words, as push-in length T1 becomes larger, first epitaxial layer 240P-1 includes a larger merged portion (shown in phantom). Thus, less space is left for the second epitaxial layer 240P-2. Referring to fig. 9A, as the in-length T1 becomes larger, the height (thickness) H2 of the second epitaxial layer 240P-2 (i.e., the distance between the lowest point of the top surface of the second epitaxial layer 240P-2 to the bottom point of the second epitaxial layer 240P-2) becomes smaller. Fig. 9B shows the relationship between the performance of a PMOS (i.e., PFET) and its first epitaxial layer. As shown in fig. 9B, with Vccmin 0mv as a reference (i.e., PMOS performance of 0%), the larger the size of the first epitaxial layer (including the sides and bottom), the smaller the size of the higher epitaxial layer, the smaller the Vccmin is written, and the weaker the PMOS performance is (see lower left portion of dashed line). Conversely, the smaller the size of the first epitaxial layer (including the sides and bottom), the larger the size of the higher epitaxial layer, the larger the write Vccmin, and the stronger the PMOS performance (see upper right portion of dashed line). In other words, the performance of the PFET depends on the thickness of the epitaxial layer (e.g., the second epitaxial layer in the illustrated embodiment) having a higher/highest concentration of germanium (Ge) and a higher doping concentration, the smaller the height of the second (or higher) epitaxial layer, the weaker the performance of the PFET. Thus, a preferred read/write margin of the SRAM cell may be achieved. Thus, controlling the thickness of the pattern layer 230A can fine-tune the size of the first epitaxial layer, and thus the size of the second (or higher) epitaxial layer, to achieve the desired PFET performance and control the read/write margin of the SRAM cell. NFETs also have the same effect. Thus, the ratio of the height H2 of the second epitaxial layer to the height D1 of the epitaxial S/D features affects the performance of the FET. In some embodiments, for a FinFET having an epitaxial S/D feature with a height D1 of about 30nm to about 50nm, the ratio of the height H2 of the second epitaxial layer to the height D1 of the epitaxial S/D feature is about 0.2 to about 0.5 when the push-in length T1 is between 6nm to about 10 nm. Similarly, when the push-in length T1 is between 6nm to about 10nm, the ratio of the height H1 of the first epitaxial layer to the height D1 of the epitaxial S/D features is about 0.5 to about 0.8. Alternatively, the ratio of the height H2 of the second epitaxial layer to the height H1 of the first epitaxial layer is about 1 to about 4 when the push-in length T1 is between 6nm to about 10 nm.

Referring to fig. 1 and 10A to 10C, in operation 110, the pattern layer 230A is removed. In some embodiments, the removal process is a selective etch process tuned to remove the patterning layer 230A but not the spacer layer 228. The selective etching process includes a dry etching process, a wet etching process, other etching processes, or a combination thereof. In the illustrated embodiment, wherein the patterned layer 230A comprises silicon and nitrogen, the removal process is a selective dry etch comprising the use of carbon tetrafluoride (CF)4) And hydrogen bromide (HBr) to remove the pattern layer 230A, and then using difluoromethane (CH)2F2) Over-etching of (2) toThe surface of the spacer layer 228 is cleaned.

Referring to fig. 1 and 11A-11C, another pattern layer 230B having a thickness T2 is deposited over the spacer layer 228 in operation 112. The material of the patterned layer 230B and the formation process thereof are similar to those of the patterned layer 230A and the formation process thereof. However, the thickness T2 is different from the thickness T1 of the pattern layer 230A. In the embodiment shown, where the device 200 is part of an SRAM cell, the thickness T2 of the pattern layer 230B formed in the NFET region 202-N is less than the thickness T1 of the pattern layer 230A formed in the PFET region 202-P. For example, the thickness T2 is less than about 6nm, which is less than a thickness T1 of about 6nm to about 10 nm. As shown in fig. 11A-11C, in the NFET region 202-N, a pattern layer 230B is deposited over the spacer layer 228; and in the PFET region 202-P, a pattern layer 230B is deposited over the fin 210 and the top surface of the epitaxial S/D features 240P, extending along the sidewalls of the spacer layer 228, and further extending over the top surface of the redundant gate structure 220. In other words, the pattern layer 230B contacts the surface of the spacer layer 228 in the NFET region 202-N and contacts the spacer layer 228 and the top surface of the redundant gate structure 220, the top surfaces of the epitaxial S/D features 240P and the fin 210, and the sidewall surfaces of the spacer layer 228 in the PFET region 202-P.

Referring to fig. 1 and 12A-12C, in operation 114, S/D trenches 236N are formed in the NFET region 202-N. The S/D trenches 236N are formed by a process similar to that described for operation 106. For example, first, the PFET region 202-P is covered by a patterned hard mask. Next, in the NFET region 202-N, the portion of the pattern layer 230B in the x-y plane is anisotropically removed, and further the portion of the spacer layer 228 in the x-y plane is anisotropically removed (or, prior to depositing the pattern layer 230B, the portion of the spacer layer 228 in the x-y plane is anisotropically removed). The fin 210 in the NFET region 202-N is then etched back along the sidewalls of the pattern layer 230B to form S/D trenches 236N. In the illustrated embodiment, the thickness T2 of the pattern layer 230B is less than the thickness T1 of the pattern layer 230A, and thus the push-in length T2 of the S/D trenches 236N in the NFET region is less than the push-in length T1 of the S/D trenches 236P in the PFET region. The depth D2 of the S/D trench 236N may be controlled by the etch time. In the illustrated embodiment, the depth D2 of the S/D trenches 236N in the NFET region 202-N is greater than the depth D1 of the S/D trenches 236P in the PFET region 202-P. For example, for a PD NFET of an SRAM cell, the depth D2 of the second S/D trench 236N is about 35nm to about 55 nm.

Referring to fig. 1 and 13A-13C, in operation 116, epitaxial S/D features 240N (i.e., N-type epitaxial S/D features) are epitaxially grown in the S/D trenches 236N. The process of the epitaxial S/D features 240N is similar to the process of the epitaxial S/D features 240P. In the illustrated embodiment, the shape of the epitaxial S/D features may be circular and curved, and may have portions that extend over the fins 210. The epitaxial S/D features 240N merge together laterally along the y-direction and span more than one fin 210. In the NFET region 202N, the epitaxial S/D features 240N may comprise an epitaxial layer such as silicon and/or germanium, wherein the silicon-containing epitaxial layer is doped with phosphorus, arsenic, other N-type dopants, or combinations thereof (e.g., forming a silicon (Si): phosphorus (P) epitaxial layer, a silicon (Si): carbon (C) epitaxial layer, or a silicon (Si): carbon (C): phosphorus (P) epitaxial layer). In some embodiments, the epitaxial S/D features 240N also include one or more epitaxial layers grown in the S/D trenches 236N. For example, a first epitaxial layer is deposited on the bottom surface and sidewall surfaces of the S/D trenches, and a second epitaxial layer is deposited on the first epitaxial layer. In some other embodiments, the third and/or fourth epitaxial layers may be further deposited on the previous epitaxial layer. In some embodiments, the epitaxial S/D features 240N include materials and/or dopants that achieve a desired tensile stress and/or compressive stress in the channel region. In various embodiments, different epitaxial layers of the epitaxial S/D features 240N may comprise the same or different semiconductor materials.

Referring to fig. 1 and 14A through 14C, in operation 118, the patterning layer 230B is removed by a selective etching process similar to the selective etching process described for operation 110.

Referring to fig. 1 and 15A-15C, in operation 120, additional processes are performed to complete the fabrication of the device 200. For example, after the formation of the interlayer dielectric layer 242, the redundant gate structure 220 is removed to form a gate trench over the channel region of the fin 210. Metal gate structures 244 are then formed in the gate trenches in place of the redundant gate structures. Each metal gate structure 244 includes a high-k dielectric layer, a metal gate electrode (including a work function metal and a bulk metal) disposed over the high-k dielectric layer, a hard mask layer, and/or other suitable material layers. Subsequently, various other features may be formed to complete the fabrication. For example, contacts/vias 246 and multilevel interconnect features, such as interlevel dielectric layers (e.g., interlevel dielectric (ILD) layers 248) and/or metal layers (e.g., metal lines 250), are formed over the device 200 and are configured to connect the various features to form functional circuitry that may include one or more multi-gate devices.

Fig. 16A and 16B show enlarged views of blocks E and F in fig. 15B and 15C, respectively. As shown in fig. 16A and 16B, the P-type epitaxial S/D feature 240P includes a P-type epitaxial layer 240P-1 and another P-type epitaxial layer 240P-2, and the N-type epitaxial S/D feature 240N includes an N-type epitaxial layer 240N-1 and another N-type epitaxial layer 240N-2. The P-type epitaxial S/D feature 240P has a height D1 in the z-direction and a width W1 in the x-direction, and the N-type epitaxial S/D feature 240N has a height D2 in the z-direction and a width W2 in the x-direction. In the embodiment shown, height D1 is less than height D2, which can be controlled by etch time to form S/D trenches; and width W1 is less than width W2 due to the difference in push-in lengths of the P-type and N-type epitaxial S/D structures. Referring to fig. 16A and 16B, the push-in length T1 of the P-type epitaxial S/D feature 240P (i.e., the thickness T1 of the pattern layer 230A) is greater than the push-in length T2 of the N-type epitaxial S/D feature 240N (i.e., the thickness T2 of the pattern layer 230B), and thus the width W1 of the P-type epitaxial S/D feature 240P is less than the width W2 of the N-type epitaxial S/D feature 240N. Accordingly, the ratio of the space occupied by the P-type epitaxial layer 240P-1 in the S/D trenches 236P (i.e., the ratio of the space occupied by the epitaxial layer in the S/D trenches) is greater than the ratio of the space occupied by the N-type epitaxial layer 240N-1 in the S/D trenches 236N. P-type epitaxial layer 240P-1 has a larger merged portion (shown in dashed lines) compared to N-type epitaxial layer 240N-1. Thus, the ratio of the height H1 of the P-type epitaxial layer 240P-1 to the height D1 of the epitaxial S/D features 240P (e.g., about 0.2 to about 0.5) is greater than the ratio of the height H3 of the N-type epitaxial layer 240N-1 to the height D2 of the epitaxial S/D features 240N (e.g., about 0.1 to about 0.3). Thus, the ratio of the height H2 of the P-type epitaxial layer 240P-2 to the height D1 of the epitaxial S/D features 240P (e.g., about 0.5 to about 0.8) is less than the ratio of the height H4 of the N-type epitaxial layer 240N-2 to the height D2 of the epitaxial S/D features 240N (e.g., about 0.7 to about 0.9). In other words, the ratio of the height H2 of the P-type epitaxial layer 240P-2 to the height H1 of the P-type epitaxial layer 240P-1 (e.g., about 1 to about 4) is less than the ratio of the height H4 of the N-type epitaxial layer 240N-2 to the height H3 of the N-type epitaxial layer 240N-1 (e.g., about 2 to about 9). Similar to those discussed with respect to fig. 9A, the larger the push-in length of the epitaxial features, the smaller the space of the highly doped epitaxial layer, and the weaker the performance of the FET. Thus, the read/write margins of the SRAM cell can be improved by increasing the push-in length of the P-type epitaxial features (i.e., by increasing the thickness of the pattern layer for the PFET).

Fig. 17 shows a flow diagram of a method 1700 for forming a semiconductor device (hereinafter device 1800) in accordance with some other embodiments of the present disclosure. The method 1700 is merely an example and is not intended to limit the present disclosure beyond the scope explicitly recited in the claims. Other operations may be performed before, during, and after method 1700, and some of the operations described may be replaced, removed, or moved for other embodiments of the method. The method 1700 is described below in conjunction with other figures that show various cross-sectional views of the apparatus 1800 during intermediate steps of the method 1700.

Fig. 18 shows a three-dimensional schematic view of an apparatus 1800 according to some embodiments of the present disclosure. Fig. 19A-19C-29A-29C show cross-sectional views of an intermediate station in the formation of apparatus 1800. Many features of device 1800 are similar to those of device 200. For simplicity, some reference symbols for device 200 are repeated in device 1800 to indicate the same or similar features. In addition, some of the fabrication steps of these embodiments are similar to those shown in fig. 3A-3C-15A-15C, and thus the details of those formation processes are not repeated here.

Referring to fig. 17, 18, and 19A to 19C, the apparatus 1800 is received. The device 1800 includes a semiconductor fin, which is a semiconductor layer stack 1810. In other words, the semiconductor layer stack 1810 is formed to have a fin shape protruding from the substrate 202. Each semiconductor layer stack 1810 includes a first type of semiconductor layer 1810A and a second type of semiconductor layer 1810B. The semiconductor layer 1810A includes a first semiconductor material, and the semiconductor layer 1810B includes a second semiconductor material different from the first semiconductor material. The different semiconductor materials in the alternating semiconductor layers 1810A and 1810B provide different oxidation rates and/or different etch selectivities. In some examples, the first semiconductor layer 1810A includes silicon (Si, the same as the substrate 202) and the second semiconductor layer 1810B includes silicon germanium (SiGe). Thus, the example semiconductor layer stack 1810 has alternating silicon (Si)/silicon germanium (SiGe)/silicon (Si)/silicon germanium (SiGe) … layers arranged from bottom to top. The apparatus 1800 also includes an isolation structure 204 separating a lower portion of the semiconductor layer stack 1810, a redundant gate structure 220 joining channel regions of the semiconductor layer stack 1810, and a spacer layer 228 deposited over the semiconductor layer stack 1810, the redundant gate structure 220, and the isolation structure 204.

Referring to fig. 17 and 20A-20C through 24A-24C, in operations 104 and 106, a patterned layer 230A having a thickness T1 (e.g., about 6nm to about 10nm) is deposited over the spacer layer 228 and S/D trenches 1836P are formed in the PFET region 202-P. As shown in fig. 23C, the S/D trench 1836P is formed with a depth D3 and a width W3. The width W3 of the S/D groove 1836P may be adjusted by the thickness T1 (i.e., the push-in length T1) of the pattern layer 230A. In some embodiments, the depth D3 is about 45nm to about 70 nm. And, the push-in length T1 is about 6nm to about 10 nm. Sidewalls of the semiconductor layer 1810A and sidewalls of the semiconductor layer 1810B are exposed in the S/D trenches 1836P. Subsequently, the hard mask layer 232 is removed.

Referring to fig. 17 and 25A through 25C, in operation 1706, inner spacers 1838 are formed in the S/D trenches 1836P to replace sides of the semiconductor layer 1810B. The inner spacers 1838 are formed by various steps. For example, first, the sides of the semiconductor layer 1810B exposed in the S/D trenches 1836P are selectively removed (e.g., by a selective oxidation and/or selective etching process) to form gaps between the semiconductor layer 1810A. Thereafter, a dielectric material (low-k dielectric material, such as silicon nitride, other dielectric materials, or combinations thereof) is deposited in the S/D trenches 1836P to fill the gaps between the semiconductor layers 1810A. An etch process may then be performed to remove excess dielectric material and expose sidewalls of semiconductor layer 1810A. The remaining portion of the dielectric material forms inner spacers 1838.

Referring now to fig. 17 and 26A-26C, in operation 108, epitaxial S/D features 1840P are formed in the S/D trenches 1836P. The epitaxial S/D features 1840P are formed having a height D3 (about 45nm to about 70nm) and a width W3. The width W3 of the epitaxial S/D feature 1840P may be adjusted by a push-in length T1, which is 1 the thickness of the pattern layer 230A and is about 6nm to about 10 nm.

Referring to fig. 17 and 27A-27C, in operations 110, 112, 114, 1714, and 116, a similar process is performed in the NFET region 202-N to form epitaxial S/D features 1840N. In some embodiments, the epitaxial S/D features 1840N are formed to have a height D4 (the S/D trenches in the NFET region have a depth D4). In some embodiments, height D4 is substantially equal to height D3. The term "substantially" when used in this disclosure refers to a difference of 10% or less. In some embodiments, the height D4 is about 45nm to about 70 nm. Also, the width W4 in the x-direction of the epitaxial S/D features 1840N may be adjusted by pushing in the length T2 (thickness of the patterned layer 230B), which is less than about 6 nm.

Referring to fig. 7, 28A-28C, and 29A-29C, in operations 118 and 120, additional processes are performed to complete the fabrication. For example, a gate replacement process is performed such that metal gate structures 1844 are formed to surround each semiconductor layer 1810A. The gate replacement process involves various steps. For example, after the ILD layer 242 is formed, the redundant gate structure 220 is removed to expose the channel region of the semiconductor stack 1810. Thereafter, the semiconductor layer 1810B in the channel region is selectively removed while keeping the semiconductor layer 1810A substantially constant. The semiconductor layers 1810A may be vertically stacked and separated from each other. Each semiconductor layer 1810A can be in the shape of a nanowire, a nanosheet, or other nanostructure. Next, a metal gate structure 244 including a high-k dielectric layer, a metal gate electrode and/or other suitable material layers is deposited over the channel region of the semiconductor stack 1810 to surround each semiconductor layer 1810A. Subsequently, various other features (e.g., contacts/vias and multi-layer interconnect features) may be formed to complete the fabrication.

Fig. 30A and 30B individually show enlarged views of blocks G and H in fig. 29B and 29C. In the illustrated embodiment, the P-type epitaxial S/D feature 1840P includes a P-type epitaxial layer 1840P-1 and another P-type epitaxial layer 1840P-2 over the P-type epitaxial layer 1840P-1, and the N-type epitaxial S/D feature 1840N includes an N-type epitaxial layer 1840N-1 and another N-type epitaxial layer 1840N-2 over the N-type epitaxial layer 1840N-1. The P-type epitaxial S/D feature 1840P has a height D3 in the z-direction and a width W3 in the x-direction, and the N-type epitaxial S/D feature 1840N has a height D4 in the z-direction and a width W4 in the x-direction. In the embodiment shown, height D3 is substantially equal to height D4 (controlled by etch time to form S/D trenches); and width W3 is less than width W4 due to the difference in push-in lengths of the P-type and N-type epitaxial S/D structures. Referring to FIGS. 30A and 30B, the push-in length T1 of the P-type epitaxial S/D feature 1840P (i.e., thickness T1 of pattern layer 230A) is greater than the push-in length T2 of the N-type epitaxial S/D feature 1840N (i.e., thickness T2 of pattern layer 230B), and thus the width W3 of the P-type epitaxial S/D feature 1840P is less than the width W4 of the N-type epitaxial S/D feature 1840N. Thus, the P-type epitaxial layer 1840P-1 occupies a greater proportion of the space in the S/D trenches in the PFET region than the N-type epitaxial layer 1840N-1 occupies in the S/D trenches in the NFET region. The P-type epitaxial layer 1840P-1 has a larger incorporated portion (shown in dashed lines) as compared to the N-type epitaxial layer 1840N-1. Thus, the ratio of the height H5 of the P-type epitaxial layer 1840P-1 to the height D3 of the epitaxial S/D features 1840P (e.g., about 0.2 to about 0.5) is greater than the ratio of the height H7 of the N-type epitaxial layer 1840N-1 to the height D4 of the epitaxial S/D features 1840N (e.g., about 0.1 to about 0.3). Thus, the ratio of the height H6 of the P-type epitaxial layer 1840P-2 to the height D3 of the epitaxial S/D features 1840P (e.g., about 0.5 to about 0.8) is less than the ratio of the height H8 of the N-type epitaxial layer 1840N-2 to the height D4 of the epitaxial S/D features 1840N (e.g., about 0.7 to about 0.9). In other words, the ratio of the height H6 of the P-type epitaxial layer 1840P-2 to the height H5 of the P-type epitaxial layer 1840P-1 (e.g., about 1 to about 4) is less than the ratio of the height H8 of the N-type epitaxial layer 1840N-2 to the height H4 of the N-type epitaxial layer 1840N-1 (e.g., about 2 to about 9). Similar to those discussed with respect to fig. 9A and 16A, 16B, the larger the push-in length of the epitaxial features, the smaller the space for the highly doped epitaxial layer and the weaker the performance of the FET. Thus, the read/write margins of the SRAM cell can be improved by increasing the push-in length of the P-type epitaxial features (i.e., by increasing the thickness of the pattern layer for the PFET).

Although not intended to be limiting, one or more embodiments of the present disclosure provide a number of benefits to semiconductor devices and processes for forming the same. For example, embodiments of the present disclosure provide a semiconductor device (e.g., SRAM) having multiple layers of PFETs characterized by P-type S/D epitaxy and multiple layers of NFETs characterized by N-type S/D epitaxy. The width of the P-type S/D epitaxial feature and/or the width of the N-type S/D epitaxial feature may be fine-tuned by the thickness (i.e., the push-in length) of the pattern layer. In some embodiments, PU PFETs may achieve weaker performance due to a larger push-in length in the PFET region, and thus may reduce the alpha ratio of the SRAM cell and may improve the read/write margin of the SRAM cell.

The present disclosure provides many different embodiments. Disclosed herein are a semiconductor device having a gas gap formed in a metal gate and a method of manufacturing the same. A method of forming a semiconductor device includes providing a semiconductor structure having a first semiconductor fin in a first region of a substrate and a second semiconductor fin in a second region of the substrate, a first redundant gate stack over the first semiconductor fin, a second redundant gate stack over the second semiconductor fin, and a spacer layer over the first redundant gate stack and the second redundant gate stack; forming a first pattern layer having a first thickness along sidewalls of the spacer layer in the first region; etching the first semiconductor fin along the plurality of sidewalls of the first pattern layer to form a first source/drain trench; epitaxially growing a first epitaxial feature in the first source/drain trench; removing the first pattern layer to expose the spacer layer; forming a second pattern layer having a second thickness along sidewalls of the spacer layer in the second region, wherein the second thickness is different from the first thickness; etching the second semiconductor fin along the sidewalls of the second pattern layer to form a second source/drain trench; epitaxially growing a second epitaxial feature in the second source/drain trench; and removing the second pattern layer to expose the spacer layer.

In some embodiments, the step of epitaxially growing the first epitaxial feature includes epitaxially growing a first epitaxial layer in the first source/drain trench and epitaxially growing a second epitaxial layer over the first epitaxial layer in the first source/drain trench; and the step of epitaxially growing a second epitaxial feature comprises epitaxially growing a third epitaxial layer in the second source/drain trench and epitaxially growing a fourth epitaxial layer over the third epitaxial layer in the second source/drain trench; wherein a first ratio of a height of the second epitaxial layer to a height of the first epitaxial feature is less than a second ratio of a height of the fourth epitaxial layer to a height of the second epitaxial feature.

In some embodiments, the first region is for a PFET and the second region is for an NFET, and the first thickness is greater than the second thickness. And, in some other embodiments, the first thickness is about 6nm to about 10 nm.

In some embodiments, the spacer layer includes a first nitride, the first pattern layer and the second pattern layer include a second nitride, and a concentration of nitrogen in the second nitride is less than a concentration of nitrogen in the first nitride. Also, in some other embodiments, the first and second pattern layers are formed by using carbon tetrafluoride (CF)4) And hydrogen bromide (HBr) selective etch process.

Another method of forming a semiconductor device includes providing a semiconductor structure having a first semiconductor layer stack in a first region of a substrate and a second semiconductor layer stack in a second region of the substrate, a first redundant gate stack over the first semiconductor layer stack, a second redundant gate stack over the second semiconductor layer stack, and a spacer layer over the first and second redundant gate stacks, wherein each of the first and second semiconductor layer stacks includes a first semiconductor layer and a second semiconductor layer that are different materials; forming a first pattern layer having a first thickness along sidewalls of the spacer layer over the first redundant gate stack; etching the first semiconductor layer stack along the plurality of sidewalls of the first pattern layer to form a first source/drain trench; epitaxially growing a first epitaxial feature in the first source/drain trench; removing the first pattern layer to expose the spacer layer; forming a second pattern layer having a second thickness along the sidewalls of the spacer layer over the second redundant gate stack, wherein the second thickness is less than the first thickness; etching the second semiconductor layer stack along sidewalls of the second pattern layer to form second source/drain trenches; epitaxially growing a second epitaxial feature in the second source/drain trench; removing the second pattern layer to expose the spacer layer; and forming a metal gate structure surrounding each of the first semiconductor layers.

In some embodiments, each of the step of forming a first patterned layer and the step of forming a second upper patterned layer comprises depositing a dielectric layer over the spacer layer; after depositing the dielectric layer, anisotropically removing portions of the dielectric layer substantially parallel to the top surface of the substrate; and anisotropically removing portions of the spacer layer substantially parallel to the top surface of the substrate.

In some embodiments, each of the step of forming the first pattern layer and the step of forming the second upper pattern layer comprises anisotropically removing portions of the spacer layer substantially parallel to the top surface of the substrate; depositing a dielectric layer over the spacer layer after anisotropically removing portions of the spacer layer; and anisotropically removing portions of the dielectric layer substantially parallel to the top surface of the substrate.

In some embodiments, the step of epitaxially growing the first epitaxial feature includes epitaxially growing a first epitaxial layer in the first source/drain trench to a first height, and epitaxially growing a second epitaxial layer over the first epitaxial layer in the first source/drain trench to a second height; epitaxially growing a second epitaxial feature includes epitaxially growing a third epitaxial layer in the second source/drain trench to a third height and epitaxially growing a fourth epitaxial layer having a fourth height over the third epitaxial layer in the second source/drain trench; and a first ratio of the first height to the second height is less than a second ratio of the third height to the fourth height.

In some embodiments, the first pattern layer and the second pattern layer comprise silicon nitride.

In some embodiments, the height of the first epitaxial feature is substantially equal to the height of the second epitaxial feature.

The semiconductor device includes a first semiconductor fin over a first region of a substrate and a second semiconductor fin over a second region of the substrate; a first metal gate structure over the first semiconductor fin and a second metal gate structure over the second semiconductor fin; a first spacer along a sidewall of the first metal gate structure and a second spacer along a sidewall of the second metal gate structure; and a first epitaxial feature over the first semiconductor fin and a second epitaxial feature over the second semiconductor fin, wherein the first epitaxial feature includes a first epitaxial layer and a second epitaxial layer formed over the first epitaxial layer, the second epitaxial feature includes a third epitaxial layer and a fourth epitaxial layer formed over the third epitaxial layer, and a first ratio of a height of the second epitaxial layer to a height of the first epitaxial feature is less than a second ratio of a height of the fourth epitaxial layer to a height of the second epitaxial feature.

In some embodiments, the first region is for a PFET and the second region is for an NFET.

In some embodiments, the height of the second epitaxial layer is less than the height of the fourth epitaxial layer. In some embodiments, a first distance between a sidewall of the first epitaxial feature and a sidewall of the first spacer is greater than a second distance between a sidewall of the second epitaxial feature and a sidewall of the second spacer. In some embodiments, the first ratio is about 50% to about 80%. In some embodiments, the second ratio is about 70% to about 90%.

In some embodiments, the first epitaxial layer has a first dopant concentration and the second epitaxial layer has a second dopant concentration greater than the first dopant concentration; and the third epitaxial layer has a third dopant concentration and the fourth epitaxial layer has a fourth dopant concentration greater than the third dopant concentration.

In some embodiments, each of the first and second semiconductor fins includes a plurality of semiconductor layers, and the first metal gate stack surrounds each of the plurality of semiconductor layers of the first semiconductor fin and the second metal gate stack surrounds each of the plurality of semiconductor layers of the second semiconductor fin.

The foregoing has outlined features of many embodiments so that those skilled in the art may better understand the disclosure in various aspects. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the invention as set forth in the appended claims. Various changes, substitutions, or alterations to the disclosure may be made without departing from the spirit and scope of the disclosure.

65页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:OLED显示面板及其制备方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类