Semiconductor device structure

文档序号:910619 发布日期:2021-02-26 浏览:2次 中文

阅读说明:本技术 半导体装置结构 (Semiconductor device structure ) 是由 江国诚 潘冠廷 苏焕杰 朱熙甯 王志豪 于 2020-08-21 设计创作,主要内容包括:一种半导体装置结构,包括鳍状结构、硬掩模层、栅极结构及源极/漏极结构。鳍状结构形成于基板之上;硬掩模层形成于鳍状结构之上;栅极结构,形成围绕硬掩模层及鳍状结构,且栅极结构的部分介于鳍状结构及硬掩模层之间;源极/漏极结构形成于邻近栅极结构。(A semiconductor device structure includes a fin structure, a hard mask layer, a gate structure, and a source/drain structure. The fin-shaped structure is formed on the substrate; a hard mask layer formed over the fin structure; a gate structure formed around the hard mask layer and the fin structure, wherein a portion of the gate structure is between the fin structure and the hard mask layer; a source/drain structure is formed adjacent to the gate structure.)

1. A semiconductor device structure, comprising:

a fin structure formed on a substrate;

a hard mask layer formed on the fin structure;

a gate structure formed around the hard mask layer and the fin structure, wherein a portion of the gate structure is between the fin structure and the hard mask layer; and

a source/drain structure formed adjacent to the gate structure.

Technical Field

Embodiments of the present invention relate to a method for manufacturing a semiconductor device, and more particularly, to a method for forming a gate-surrounding structure.

Background

The electronics industry has experienced an increasing demand for smaller and faster electronic devices that can simultaneously support more and more complex functions. Accordingly, there is a continuing trend in the semiconductor industry to manufacture Integrated Circuits (ICs) with low cost, high performance, and low power. Most of these goals have been achieved to date by shrinking semiconductor integrated circuit dimensions (e.g., minimum feature sizes), and thus improving manufacturing efficiency and reducing associated costs. However, such scaling increases the complexity in the semiconductor manufacturing process. Accordingly, the continuous progress in implementing semiconductor integrated circuits and devices requires similar developments in semiconductor manufacturing processes and technologies.

Recently, multi-gate devices have been proposed to improve gate control by increasing gate-channel coupling, reducing off-state current, and reducing short-channel effects (SCEs). one of such proposed multi-gate devices is a gate-all-around transistor (GAA). the name surrounding gate device comes from a surrounding channel region that can be extended by a gate, providing access to the channel from two or four sides.

Disclosure of Invention

It is an object of embodiments of the present invention to provide a semiconductor device structure to solve at least one of the above problems.

Embodiments of the present invention include a semiconductor device structure including a fin structure, a hard mask layer, a gate structure, and a source/drain structure. The fin-shaped structure is formed on the substrate; a hard mask layer formed over the fin structure; the gate structure is formed to surround the hard mask layer and the fin-shaped structure, and part of the gate structure is arranged between the fin-shaped structure and the hard mask layer; a source/drain structure is formed adjacent to the gate structure.

The semiconductor device structure of the embodiment of the invention has the advantages that the semiconductor device structure can comprise a hard mask layer formed on the fin-shaped structure, and a gate structure formed to surround the hard mask layer and the fin-shaped structure, and part of the gate structure can be arranged between the fin-shaped structure and the hard mask layer. The hard mask layer may protect the fin structure when forming the gate structure. Therefore, the threshold voltage variation of the semiconductor device can be reduced, and the performance of the semiconductor device can be increased.

Drawings

The following detailed description of embodiments of the invention refers to the accompanying drawings. It should be noted that the various features are not drawn to scale and are merely illustrative examples. In fact, the dimensions of the elements may be exaggerated or minimized to clearly illustrate the technical features of the embodiments of the present invention.

Fig. 1A-1O are perspective views illustrating stages in forming a semiconductor device structure, according to some embodiments.

Fig. 2A and 2B are cross-sectional views illustrating stages in forming a semiconductor device structure, such as that illustrated in fig. 1L and 1M, in accordance with some embodiments.

Fig. 2C-1 and 2C-2 illustrate cross-sectional views of forming a semiconductor device structure, such as that illustrated in fig. 1N, in accordance with some embodiments.

Fig. 3A-3D are perspective views illustrating stages in forming a semiconductor device structure, according to some embodiments.

Figures 4A-1 and 4A-2 are cross-sectional views illustrating the formation of a semiconductor device structure as illustrated in figure 3D, in accordance with some embodiments.

Fig. 5A-5D are perspective views illustrating stages in forming a semiconductor device structure, according to some embodiments.

Fig. 6A-1 and 6A-2 are cross-sectional views illustrating the formation of a semiconductor device structure as illustrated in fig. 5D, in accordance with some embodiments.

The reference numbers are as follows:

100a,100b,100c semiconductor device structure

102 substrate

104 first semiconductor material

104 ', 104' etched first semiconductor layer

106 second semiconductor material

106' a second semiconductor layer

107 stacked structure

108 hard mask material

108 ', 108' hard mask layer

109 photoresist layer

110 fin structure

112, 112' grooves

114 lining layer

116 isolation structure

118 dummy gate dielectric material

118' dummy gate dielectric layer

120 virtual gate electrode layer

122 dummy gate structure

124 oxide layer

126 nitride layer

128 hard mask layer

130, 130' groove

132 gate spacer layer

132' gate spacer

134 inner spacer

136 source/drain structure

138 contact etch stop layer

140 interlayer dielectric structure

142 groove

144 gap of

146 interfacial layer

148 high dielectric constant layer

150 work function layer

152 gate electrode layer

154 metal cap layer

156 gate structure

158 hard mask layer

160 silicide layer

162 contact point

I-I, II-II line

L is length

T1,T2,T3,T4,T5Thickness of

Detailed Description

The following disclosure provides many different embodiments, or examples, for implementing different features of the disclosure. The following disclosure describes specific examples of components and arrangements thereof to simplify the description. Of course, these specific examples are not intended to be limiting. For example, if embodiments of the present invention describe a first feature formed on or above a second feature, that is, embodiments that may include the first feature in direct contact with the second feature, embodiments may also include additional features formed between the first feature and the second feature such that the first feature and the second feature may not be in direct contact. In addition, embodiments of the present invention may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.

Some embodiments of the invention are described. Like elements may be represented by like numbers throughout the various views and the described embodiments. It should be understood that additional operations may be provided before, during, and/or after the stages described in these embodiments. Various embodiments may replace or eliminate some of the stages described.

The Gate All Around (GAA) transistor structure described below may be patterned in any suitable manner. For example, the structure is patterned using one or more photolithography processes, including a double patterning process or a multiple patterning process. Generally, double patterning or multiple patterning processes combine lithographic and self-aligned processes, allowing creation of patterns with smaller pitches than, for example, available using a single direct lithographic process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithographic process. Spacers are formed along the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed and the remaining spacers can be used to pattern the surrounding gate structure.

Semiconductor device structures (e.g., surrounding gate structures) and methods of forming the same are provided. The semiconductor device structure may include a hard mask layer formed over the fin structure, and a gate structure surrounding the hard mask layer and the fin structure, and a portion of the gate structure may be between the fin structure and the hard mask layer, such that the fin structure and a portion of the gate structure may be protected by the hard mask layer during a fabrication process.

Fig. 1A-1O are perspective views illustrating stages in forming a semiconductor device structure 100a, according to some embodiments. Fig. 2A and 2B are cross-sectional views illustrating stages in forming the semiconductor device structure 100a as illustrated in fig. 1L and 1M, in accordance with some embodiments.

Fig. 2C-1 and 2C-2 illustrate cross-sectional views of forming the semiconductor device structure 100a as illustrated in fig. 1N, in accordance with some embodiments. Fig. 2A, 2B, and 2C-1 illustrate cross-sectional views taken along line I-I of fig. 1L, 1M, and 1N, according to some embodiments. Fig. 2C-2 is a cross-sectional view taken along line II-II of fig. 1N according to some embodiments.

According to some embodiments, as illustrated in fig. 1A, a substrate 102 is obtained. The substrate 102 may be a semiconductor wafer such as a silicon wafer. Alternatively or additionally, the substrate 102 may include an elemental semiconductor material, a compound semiconductor material, and/or an alloy semiconductor material. Examples of elemental semiconductor materials include, but are not limited to, crystalline silicon, polycrystalline silicon, amorphous silicon, germanium, and diamond. Examples of compound semiconductor materials may include, but are not limited to, silicon carbide (silicon carbide), gallium arsenide (gallium arsenide), gallium phosphide (gallium phosphide), indium phosphide (indium phosphide), indium arsenide (indium arsenide), and indium antimonide (indium antimonide). Examples of alloy semiconductor materials may include, but are not limited to, SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and GaInAsP.

In some embodiments, substrate 102 comprises an epitaxial layer. For example, the substrate 102 has an epitaxial layer covering a bulk semiconductor. In some embodiments, the substrate 102 is a semiconductor-on-insulator (SOI) that may include a semiconductor substrate, a buried oxide layer on the substrate, and a semiconductor layer on the buried oxide layer.

According to some embodiments, as shown in fig. 1A, a stacked structure 107 is formed on the substrate 102. In some embodiments, the stacked structure 107 includes the first semiconductor material 104 of the first composition interposed with the second semiconductor material 106 of the second composition such that the first semiconductor material 104 and the second semiconductor material 106 have different etch rates in subsequent etch processes. In some embodiments, the first semiconductor material 104 and the second semiconductor material 106 are stacked in an alternating manner.

In some embodiments, the first semiconductor material 104 and the second semiconductor material 106 comprise Si, Ge, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, or InP. In some embodiments, the first semiconductor material 104 is SiGe and the second semiconductor material 106 is Si.

In some embodiments, the first semiconductor material 104 and the second semiconductor material 106 are formed using a Low Pressure Chemical Vapor Deposition (LPCVD) process, an epitaxial growth process, other suitable processes, or a combination thereof. In some embodiments, the epitaxial growth process comprises Molecular Beam Epitaxy (MBE), Metal Organic Chemical Vapor Deposition (MOCVD), or Vapor Phase Epitaxy (VPE).

The first semiconductor material 104 or portions thereof may serve to define a gap distance between adjacent channel regions of a subsequently formed semiconductor device structure 100a, and the second semiconductor material 106 or portions thereof may serve as a channel region of the subsequently formed semiconductor device structure 100 a. For example, the second semiconductor material may be referred to as "nanowires" used to form the semiconductor device structure 100a, e.g., surrounding a channel region of a gate device.

It should be noted that although five layers of the first semiconductor material 104 and four layers of the second semiconductor material 106 are shown in fig. 1A, the number of the second semiconductor material 106 may be in the range from 2 to 10, and the total number of the first semiconductor material 104 is one layer more than the total number of the second semiconductor material 106.

According to some embodiments, as illustrated in fig. 1A, furthermore, a hard mask material 108 is formed on the stack structure 107, and a patterned photoresist layer 109 is formed on the hard mask material 108. In some embodiments, the hard mask material 108 includes one or more dielectric materials, such as silicon carbide (silicon carbide), silicon oxide (silicon oxide), silicon nitride (silicon nitride), silicon oxynitride (silicon oxynitride), other suitable dielectric materials, or combinations thereof.

The material of the hard mask material 108 may be selected in accordance with device performance and manufacturing considerations. In some embodiments, the hard mask material 108 comprises a dielectric material having a dielectric constant (k value) of less than 7, such as SiCN, SiOC, SiOCN, or combinations thereof. In some embodiments, the hard mask material 108 comprises a high dielectric constant dielectric material (e.g., k)>7) E.g. HfO2、ZrO2、HfAlOx、Al2O3Or a combination of the foregoing.

In some embodiments, the hard mask material 108 is a multilayer structure. For example, the hard mask material 108 may include a lower layer made of silicon oxide and an upper layer made of silicon nitride. In some embodiments, the hard mask material 108 may be formed by a deposition process, which may include a Chemical Vapor Deposition (CVD) process, a high-density plasma chemical vapor deposition (HDPCVD) process, a spin-on process, a sputtering process, and other suitable processes.

In addition, a patterned photoresist layer 109, which is formed over the hard mask material 108, may be formed by a deposition process and a subsequent patterning process. The deposition process for forming the patterned photoresist layer 109 may include a chemical vapor deposition process, a high density plasma chemical vapor deposition process, a spin-on process, a sputtering process, and other suitable processes. The patterning process for forming the patterned photoresist layer 109 may include a photolithography process and an etching process. The photolithography process may include photoresist coating (e.g., spin coating), soft baking, mask alignment, exposure, post-exposure baking, developing the photoresist, rinsing, and drying (e.g., hard baking). The etching process may include a dry etching process or a wet etching process.

According to some embodiments, as illustrated in fig. 1B, after forming the patterned photoresist layer 109, the hard mask material 108 is patterned to form a hard mask layer 108' using the patterned photoresist layer 109 as a mask. According to some embodiments, after forming the hard mask layer 108', the patterned photoresist layer 109 is removed. In some embodiments, the hard mask layer 108' is a non-functional dummy channel layer. In some embodiments, the hard mask layer 108' is a protective layer that protects underlying structures from damage in subsequent processes.

Next, according to some embodiments, an etching process is performed on the stack structure 107 and the substrate 102 to form the fin structure 110 using the hard mask layer 108' as a mask. Specifically, according to some embodiments, the etching process removes portions of the stack structure 107 exposed by the hard mask layer 108 ' and further recesses the substrate 102 to form the trenches 112 between the first semiconductor layer 104 ', the second semiconductor layer 106 ', and the fin structures 110.

According to some embodiments, after the etching process, the substrate 102 has protruding portions between the trenches 112, which form a lower portion of the fin structure 110, and the first and second semiconductor layers 104 'and 106' form an upper portion of the fin structure 110. In some embodiments, the etching process includes a dry etching process, such as reactive-ion etching (RIE) or neutral-beam etching (NBE), a wet etching process, or a combination thereof.

According to some embodiments, liner 114 and isolation structure 116 are formed in trench 112 after fin structure 110 is formed, as shown in fig. 1C. In some embodiments, the method of forming the liner layer 114 and the isolation structure 116 includes forming a liner material conformally covering the substrate 102, the fin structure 110, and the hard mask layer 108', and forming an insulating material over the liner material and filling the trench 112. Thereafter, the insulating material and the liner material are partially removed to form reduced trenches 112' over the liner material and the remaining portions of the insulating material, i.e., the liner layer 114 and the isolation structures 116.

In some embodiments, the liner layer 114 and the isolation structure 116 are made of silicon oxide, silicon nitride, silicon oxynitride, other suitable insulating materials, or combinations thereof. In some embodiments, the liner layer 114 and the isolation structure 116 are formed using a thermal oxidation process, a chemical vapor deposition process, an Atomic Layer Deposition (ALD) process, a low pressure chemical vapor deposition process, a Plasma Enhanced Chemical Vapor Deposition (PECVD) process, a high density plasma CVD process, a Flowable Chemical Vapor Deposition (FCVD) process, other suitable processes, or a combination thereof.

It should be noted that even after the liner layer 114 and the isolation structure 116 are formed, the hard mask layer 108 'is not removed, and according to some embodiments, as shown in fig. 1D, a dummy gate dielectric material 118 is formed to conformally cover the top surface and sidewalls of the hard mask layer 108'. In some embodiments, sidewalls and upper portions of fin structure 110, a top surface of isolation structure 116, and a top surface of liner 114 are conformally covered with dummy gate dielectric material 118.

In some embodiments, the dummy gate dielectric material 118 includes one or more dielectric materials, such as silicon oxide, silicon nitride, silicon oxynitride, or combinations thereof. In some embodiments, dummy gate dielectric layer 118 is a dielectric material having a high dielectric constant (k value), e.g., greater than 3.9. In some embodiments, the high-k dielectric material comprises HfO2HfZrO, HfSiO, HfTiO, HfAlO, other suitable high dielectric constant dielectric materials, or combinations thereof. In some embodiments, the dielectric material is formed using thermal oxidation, chemical vapor deposition, atomic layer deposition, Physical Vapor Deposition (PVD), other suitable methods, or combinations thereof.

Thereafter, according to some embodiments, as illustrated in fig. 1E, a dummy gate structure 122 is formed across the fin structure 110 and the hard mask layer 108'. In some embodiments, a hard mask layer 128 is formed over the dummy gate structure 122. The hard mask layer 128 may be used as an etch mask for forming the dummy gate structure 122.

In some embodiments, each dummy gate structure 122 includes a dummy gate dielectric layer 118' and a dummy gate electrode layer 120, and the hard mask layer 128 includes an oxide layer 124 (e.g., silicon oxide) and a nitride layer 126 (e.g., silicon nitride) formed over the oxide layer 124. In addition, according to some embodiments, a trench 130 is formed between the dummy gate structures 122.

In some embodiments, forming the dummy gate structure 122 includes forming a dummy gate electrode material over the dummy gate dielectric material 118 and filling the reduced opening 112' with the dummy gate electrode material, and forming a hard mask layer 128 over the dummy gate electrode material. The hard mask layer 128 may be formed by a deposition process and a patterning process. Next, according to some embodiments, the dummy gate electrode material portions exposed by the hard mask layer 128 and the dummy gate dielectric material 118 portions are removed to expose the hard mask layer 108'.

The profile of the dummy gate structure 122 may not be easily controlled during a partial removal process (e.g., an etching process) of the dummy gate electrode material and the dummy gate dielectric material 118 forming the dummy gate structure 122. For example, after the partial removal process, the dummy gate structure 122 may have a width gradually increasing from the top to the bottom (i.e., bamboo-like problem), so that a leakage path may occur at the bottom of the gate structure, which replaces the dummy gate structure 122 in a subsequent process. However, if dummy gate electrode material and dummy gate dielectric material 118 are heavily etched to form dummy gate structure 122 having substantially the same width from top to bottom, the risk of damaging fin structure 110 may increase.

Accordingly, in some embodiments, since the hard mask layer 108 'is formed over the fin structure 110, the fin structure 110 may be protected by the hard mask layer 108' during the heavy portion removal process for forming the dummy gate structure 122. Thus, even when the fin pitch and gate length are relatively small due to the shrinking of the structure, both the bamboo-like problem and damage to fin structure 110 can be avoided.

It is noted that, according to some embodiments, the top surface and sidewalls of the hard mask layer 108' are partially exposed by the trenches 130 between the dummy gate structures 122. In addition, in some embodiments, sidewalls of the first semiconductor layer 104 'and sidewall portions of the second semiconductor layer 106' are exposed.

In some embodiments, dummy gate electrode layer 120 is made of a conductive material. In some embodiments, the conductive material comprises polysilicon (poly-Si), poly-crystalline silicon-germanium (poly-SiGe), a metal nitride, a metal silicide, a metal, or a combination thereof. In some embodiments, the dummy gate electrode layer 120 is formed by a deposition process, such as chemical vapor deposition, physical vapor deposition, or a combination thereof, and a subsequent etching process.

According to some embodiments, as shown in fig. 1F, after forming the dummy gate structure 122, a gate spacer layer 132 is formed on the top surface and sidewalls of the dummy gate structure 122. In some embodiments, the top surface and sidewalls of the hard mask layer 108 ', the sidewalls of the fin structures 110, and the top surface of the isolation structures 116 are conformally covered by the gate spacer layer 132, which results in reduced trenches 130' between the dummy gate structures 122 and forms the gate spacer layer 132 lining the sidewalls of the trenches 130.

In some embodiments, the gate spacer layer 132 is made of a dielectric material, such as silicon oxide (SiO)2) Silicon nitride (SiN), silicon carbide (SiC), silicon oxynitride (SiON), silicon carbonitride (SiCN), silicon oxycarbonitride (SiOCN), or combinations thereof. In some embodiments, the gate spacer layer 132 is formed using chemical vapor deposition (e.g., low pressure chemical vapor deposition, plasma enhanced chemical vapor deposition, or sub-atmospheric pressure chemical vapor deposition (SACVD)), atomic layer deposition, other suitable methods, or a combination thereof.

Next, according to some embodiments, as shown in fig. 1G, pairs of gate spacers 132 'formed from gate spacer layer 132 are formed on opposite sidewalls of dummy gate structure 122, and portions of fin structure 110 exposed from dummy gate structure 122 and gate spacers 132' are removed. In some embodiments, the portions of the gate spacers 132 on the hard mask layer 128 and the portions of the gate spacer layer 132 on the top surface of the hard mask layer 108' are removed. In some embodiments, the portion of the gate spacer layer 132 on the top surface of the isolation structure 116 is removed. The partial removal process of the gate spacer layer may include a dry etching process, a wet etching process, or a combination thereof.

According to some embodiments, after forming gate spacers 132 ', portions of fin structure 110 exposed from dummy gate structure 122 and gate spacers 132' are removed. The partial removal process of the fin structure 110 may include a dry etching process, a wet etching process, or a combination thereof.

In some embodiments, the top surface of the substrate 102 is flush with the top surface of the isolation structure 116 and the bottom surface of the first semiconductor layer 104' after the partial removal process of the fin structure 110. In some embodiments, after the partial removal process of the fin structure 110 is performed, the top surface of the substrate 102 is lower than the top surface of the isolation structure 116 and the bottom surface of the first semiconductor layer 104', depending on parameters of the partial removal process.

According to some embodiments, as illustrated in fig. 1H, the first semiconductor layer 104 'covered by the hard mask layer 108' is then laterally etched to obtain an etched first semiconductor layer 104 ". In some embodiments, the outer portion of the first semiconductor layer 104 'is removed, and the inner portion of the first semiconductor layer 104' directly covered by the dummy gate structure 122 remains as the etched first semiconductor layer 104 ".

In some embodiments, laterally etching the first semiconductor layer 104' includes a dry etching process, a wet etching process, or a combination thereof. In some embodiments, the sidewalls of the etched first semiconductor layer 104 "are not aligned with the sidewalls of the second semiconductor layer 106'.

According to some embodiments, as illustrated in fig. 1I, after laterally etching the first semiconductor layer 104', inner spacers 134 are formed on sidewalls of the etched first semiconductor layer 104 ". According to some embodiments, the inner spacers 134 are made of a dielectric material having a dielectric constant value (k-value) less than 6, such as in the range of about 2 to about 6. In some embodiments, the inner spacers 134 are used to reduce the parasitic capacitance between the gate structure and a subsequently formed source/drain (S/D) structure. If the dielectric constant of the inner spacers 134 is too high, the inner spacers 134 may not help reduce the parasitic capacitance.

In some embodiments, the inner spacers 134 are made of silicon oxycarbide (SiOC), silicon oxycarbonitride (SiOCN), silicon carbonitride (SiCN), or combinations thereof. In some embodiments, the inner spacers 134 are formed by a deposition process followed by an etch back process. The deposition process can include chemical vapor deposition (e.g., low pressure chemical vapor deposition, plasma enhanced chemical vapor deposition, sub-atmospheric pressure chemical vapor deposition, or flowable chemical vapor deposition), atomic layer deposition processes, other suitable methods, or combinations thereof. The etch-back process may include a dry etching process or a wet etching process.

In some embodiments, the interface between the etched first semiconductor layer 104 "and the inner spacer 134 is directly under the dummy gate structure 122. In some embodiments, the interface between the etched first semiconductor layer 104 "and the inner spacers 134 is aligned with the interface between the dummy gate structure 122 and the gate spacers 132'.

According to some embodiments, as shown in fig. 1J, after forming the inner spacers 134, source/drain (S/D) structures 136 are formed on opposite sidewalls of the dummy gate structure 122. In some embodiments, the source/drain structure 136 is spaced apart from the dummy gate structure 122 by a gate spacer 132', and the source/drain structure 136 is spaced apart from the etched first semiconductor layer 104 ″ by an inner spacer 134. Furthermore, according to some embodiments, the source/drain structures 136 directly contact the second semiconductor layer 106'.

In some embodiments, source/drain structure 136 is made of Ge, Si, SiGe, InAs, InGaAs, InSb, GaAs, GaSb, InAlP, InP, AlGaAs, GaAsP, SiP, SiC, SiCP, other suitable materials, or combinations thereof. In some embodiments, the source/drain structures 136 are formed using one or more epitaxial growth processes, such as molecular beam epitaxy, metal organic chemical vapor deposition, vapor phase epitaxy, other suitable epitaxial growth processes, or combinations thereof.

In some embodiments, the source/drain structures 136 are doped in-situ during the epitaxial growth process. For example, the source/drain structures 136 may be epitaxially grown SiGe doped with boron (B). In some embodiments, the source/drain structure 136 is doped in one or more implantation processes after the epitaxial growth process.

In some embodiments, the source/drain structure 136 is activated with an annealing process. In some embodiments, the annealing process includes Rapid Thermal Annealing (RTA), laser annealing, other suitable annealing processes, or a combination thereof.

Thereafter, a Contact Etch Stop Layer (CESL) 138 is formed on the source/drain structure 136, and an interlayer dielectric (ILD) structure 140 is formed on the contact etch stop layer 138. In some embodiments, a contact etch stop layer 138 is formed to conformally cover the top surface of the source/drain structure 136, and the contact etch stop layer 138 extends over the sidewalls of the gate spacers 132 'and the sidewalls of the hard mask layer 108'.

In some embodiments, the contact etch stop layer 138 is made of a dielectric material such as silicon nitride, silicon oxide, silicon oxynitride, other suitable dielectric materials, or combinations thereof. In some embodiments, the contact etch stop layer 138 is formed by chemical vapor deposition (e.g., plasma etch chemical vapor deposition, High Aspect Ratio Process (HARP), or combinations thereof), atomic layer deposition, other suitable methods, or combinations thereof.

In some embodiments, the interlayer dielectric structure 140 includes multiple layers made of multiple dielectric materials, such as silicon oxide, silicon nitride, silicon oxynitride, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), low dielectric constant materials, and/or other suitable dielectric materials. Examples of low dielectric constant materials include, but are not limited to, fluorosilicate glass (FSG), carbon doped silicon oxide, amorphous carbon fluoride (amorphous fluorinated carbon), parylene, bis-benzocyclobutene (BCB), and polyimide (polyimide). In addition, the interlayer dielectric structure 140 may be formed by chemical vapor deposition, physical vapor deposition, atomic layer deposition, spin-on coating, or other suitable processes.

Thereafter, according to some embodiments, as shown in fig. 1K, a planarization process is performed on the interlayer dielectric structure 140 until the top surface of the dummy gate structure 122 is exposed. After the planarization process, the top surface of the dummy gate structure 122 and the top surface of the gate spacer 132' may be substantially flush with the interlayer dielectric structure 140. In some embodiments, the planarization process includes a grinding process, a Chemical Mechanical Polishing (CMP) process, an etching process, other suitable processes, or a combination thereof.

Next, according to some embodiments, as shown in fig. 1L and fig. 2A, the dummy gate structure 122 is removed to form a trench 142 in the interlayer dielectric structure 140. Fig. 2A is a cross-sectional view taken along line I-I of fig. 1L. More specifically, each trench 142 is formed between each pair of gate spacers 132 ', and the hard mask layer 108' is partially exposed from the trench 142. In some embodiments, the dummy gate dielectric layer 118' and the dummy gate electrode layer 120 of the dummy gate structure 122 are removed by an etching process, such as a dry etching process or a wet etching process.

According to some embodiments, after forming the trench 142, the etched first semiconductor layer 104 "is removed to form a gap 144, as illustrated in fig. 1M and 2B. Fig. 2B is a cross-sectional view taken along line I-I of fig. 1M, according to some embodiments. In some embodiments, the removal process comprises a selective etch process. According to some embodiments, the selective etching process removes the etched first semiconductor layer 104 "and leaves the second semiconductor layer 106' as" nanowires "to form the channel region of the semiconductor device structure 100 a.

In some embodiments, the selective etching process to remove the etched first semiconductor layer 104 "includes a wet etching process, a dry etching process, or a combination thereof. In some embodiments, the selective etch process is a plasma-free dry chemical etch process. In some embodiments, the etchant of the dry chemical etch process includes free radicals such as HF, NF3、NH3、H2Or a combination of the foregoing.

It should be noted that in some embodiments, the selective etch process that removes the etched first semiconductor layer 104 "etches the exposed hard mask layer 108' portions of the trenches 142 such that an etched hard mask layer 108" is obtained. In some embodiments, the height of the portion of the etched hard mask layer 108 "directly below the gate spacer 132' is greater than the height of the portion of the etched hard mask layer 108" exposed by the trench 142. Furthermore, according to some embodiments, the sidewalls of the second semiconductor layer 106' (i.e., the nanowires) are substantially aligned with the sidewalls of the etched hard mask layer 108 ″.

Furthermore, according to some embodiments, some gaps 144 are formed between the etched hard mask layer 108 ″ and the second semiconductor layer 106 ', and other gaps 144 are formed between the second semiconductor layer 106'. Further, in some embodiments, a gap 144 is between the inner spacers 134.

According to some embodiments, as illustrated in fig. 1N, 2C-1, and 2C-2, after forming the gap 144, a gate structure (or metal gate structure) 156 is formed surrounding the etched hard mask layer 108 "and the second semiconductor layer 106'. According to some embodiments, fig. 2C-1 is a cross-sectional view taken along line I-I of fig. 1N, and fig. 2C-2 is a cross-sectional view taken along line II-II of fig. 1N.

According to some embodiments, the gate structure 156 may be a multi-layer structure. Each gate structure 156 includes an interfacial layer 146, a high-k dielectric layer 148, a work function layer 150, a gate electrode layer 152, and a metal cap layer 154. In some embodiments, second semiconductor layer 106' is surrounded by interfacial layer 146 and directly contacts interfacial layer 146, and interfacial layer 146 is surrounded by high dielectric constant layer 148. In some embodiments, the interfacial layer 146 is not formed surrounding the etched hard mask layer 108 ", and the etched hard mask layer 108" is surrounded by the high dielectric constant layer 148 and directly contacts the high dielectric constant layer 148.

In some embodiments, the interfacial layer 146 is made of silicon oxide and is formed by thermal oxidation. In some embodiments, each high-k layer 148 is made of one or more layers of dielectric material, such as HfO2HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, zirconia (zirconia oxide), alumina (aluminum oxide), titania (titanium oxide), hafnia-alumina alloy (HfO)2-Al2O3) Other suitable high-k dielectric materials, or combinations thereof. In some embodiments, high dielectric constant layer 148 is formed using chemical vapor deposition, atomic layer deposition, other suitable methods, or combinations thereof.

Furthermore, according to some embodiments, the high-k layer 148 is surrounded by a work function layer 150, and a gate electrode layer 152 is formed over the work function layer 150. It is noted that, according to some embodiments, the gap 144 under the etched hard mask layer 108 ″ is filled with the interfacial layer 146, and the high-k layer 148, the work function layer 150, and the gate electrode layer 152 do not fill the gap 144. Furthermore, in some embodiments, the gate electrode layer 152 is not covered by the etched hard mask layer 108 ".

In some embodiments, the work function layer 150 is made of a metal material, and the metal material may include an N-type work function metal or a P-type work function metal. The N-type work function metal may include tungsten (W), copper (Cu), titanium (Ti), silver (Ag), aluminum (Al), titanium aluminum alloy (TiAl), titanium aluminum nitride (TiAlN), tantalum carbide (TaC), tantalum carbon nitride (TaCN), tantalum silicon nitride (TaSiN), manganese (Mn), zirconium (zirconia, Zr), or a combination thereof. The P-type work function metal may include titanium nitride (TiN), tungsten nitride (WN), tantalum nitride (TaN), ruthenium (Ru), or combinations thereof.

In some embodiments, each gate electrode layer 152 is formed with one or more layers of conductive material, such as polysilicon, aluminum, copper, titanium, tantalum, tungsten, cobalt, molybdenum, tantalum nitride, nickel silicide, cobalt silicide, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, metal alloys, other suitable materials, or combinations thereof. In some embodiments, gate electrode layer 152 is formed using chemical vapor deposition, atomic layer deposition, electroplating, other suitable methods, or combinations thereof.

Furthermore, according to some embodiments, as illustrated in FIG. 1N, FIG. 2C-1, and FIG. 2C-2, a metal cap layer 154 is formed on the gate electrode layer 152, and a hard mask layer 158 is formed on the metal cap layer 154 of the gate structure 156.

In some embodiments, the metal cap 154 is made of tungsten (W) and/or other conductive metal materials. In some embodiments, metal cap layer 154 is formed using chemical vapor deposition, a high density plasma chemical vapor deposition process, metal organic chemical vapor deposition, plasma enhanced chemical vapor deposition, other suitable processes, or combinations thereof. According to some embodiments, after forming the metal cap layer 154, the gate structure 156 is recessed (etched back) by an etching process and a hard mask layer 158 is formed over the gate structure 156.

In some embodiments, the hard mask layer 158 is made of oxide, silicon nitride, silicon carbonitride, silicon oxycarbonitride, SiLK, or combinations thereof. In some embodiments, the hard mask layer 158 is formed in a deposition process, such as a chemical vapor deposition process, an atomic layer deposition process, or other suitable process. In addition, each hard mask layer 158 may be a single layer formed in a single deposition process, or multiple layers formed in multiple deposition processes.

More specifically, in some embodiments, the gate structure 156 is filled between the etched hard mask layer 108 ″ and the second semiconductor layer 106 ', and also fills the gap 144 between the gate structure 156 and the second semiconductor layer 106'. The portion of the gate structure 156 between the second semiconductor layers 106' has a thickness T1And the portion of the gate structure 156 between the etched hard mask layer 108 ″ and the second semiconductor layer 106' has a thickness T2

In some embodiments, the thickness T is due to the etched hard mask layer 108 "being formed over the second semiconductor layer 106, and the gate structure 156 extending between the etched hard mask layer 108" and the second semiconductor layer 1062And thickness T1The big offsets are the same.

In some embodiments, the second semiconductor layer 106' (i.e., nano-sized) is surroundedLine) and the portion of the gate structure 156 covered by the etched hardmask layer 108 "is uniform in thickness (i.e., thickness T)1The maximum and thickness T2The same). Therefore, the threshold voltage (Vt) variation of the semiconductor device may be reduced.

Furthermore, the second semiconductor layer 106' (i.e., the nanowires) is "covered with an etched hard mask layer 108. Thus, the second semiconductor layer 106' may be protected from being etched or damaged during the multiple patterning process for forming the gate structure 156 in different regions of the semiconductor device.

Furthermore, the portion of the etched hard mask layer 108 "directly covered and surrounded by the gate structure 156 has a thickness T3And the second semiconductor layer 106' has a thickness T4. In some embodiments, the thickness T3And thickness T4The big offsets are the same. Furthermore, as shown in FIG. 2C-2, another portion of the etched hard mask layer 108' is formed with a thickness T5Directly overlying the gate spacers 132'. According to some embodiments, the thickness T is due to partial etching of the hard mask layer 108' during the process of forming the gap 1445Greater than thickness T3

In some embodiments, the thickness T3In the range of about 3nm to about 10nm, and a thickness T5In the range of about 0 to about 30 nm. In some embodiments, the thickness T3Relative thickness T5Is greater than or equal to about 0.1 and less than 1. If the above ratio is too small (i.e., less than 0.1), the thickness T3Too small (i.e., less than 3nm), the second semiconductor layer 106' may not be protected by the etched hard mask layer 108 "during the multiple patterning process to form the gate structure 156 in different regions of the semiconductor device structure. If the thickness T is3Too large (i.e., greater than 10nm), the overall height of the gate structure 156 may increase, which may reduce the performance of the semiconductor device. Further, according to some embodiments, the thickness T5Is 0, which will be described in detail later with reference to fig. 5A to 5D.

After the hard mask layer 158 is formed, portions of the contact etch stop layer 138 and portions of the interlayer dielectric structure 140 are removed to form contact openings that expose the source/drain structures 136. Thereafter, according to some embodiments, as illustrated in fig. 1O, a silicide layer 160 is formed on the exposed surface of the source/drain structure 136, and a contact 162 is formed over the silicide layer 160. More specifically, silicide layer 160 is located between source/drain structure 136 and contact 162. In some embodiments, the contact 162 is electrically connected to the source/drain structure 136 through the silicide layer 160. Silicide layer 160 may be used to reduce the contact resistance (Rcsd) between contact 162 and source/drain structure 136.

In some embodiments, a barrier layer (not shown) is formed over the source/drain structures 136 in a deposition process, and an annealing process is performed on the barrier layer to form the silicide layer 160. The barrier layer may first be U-shaped and the bottom of the barrier layer may react with the source/drain structures 136 to form the silicide layer 160.

In some embodiments, the silicide layer 160 is made of cobalt silicide (cobalt silicide), titanium silicide (titanium silicide), tantalum silicide (tantalum silicide), nickel silicide (nickel silicide), copper silicide (copper silicide), tungsten silicide (tungsten silicide), molybdenum silicide (molybdenum silicide), other suitable metal silicides, or combinations thereof. In some embodiments, the deposition process of the barrier layer includes a physical vapor deposition process, an atomic layer deposition process, or other suitable process. In some embodiments, the annealing process is performed at a temperature of about 300 ℃ to about 800 ℃. After the annealing process, the unreacted portion of the barrier layer may be removed.

In some embodiments, the contact 162 is made of tungsten (W), cobalt (Co), titanium (Ti), aluminum (Al), copper (Cu), tantalum (Ta), platinum (Pt), molybdenum (Mo), silver (Ag), manganese (Mn), zirconium (Zr), ruthenium (Ru), or other suitable materials. In some embodiments, the contact 162 is formed in a deposition process, such as a chemical vapor deposition process, a physical vapor deposition process, an atomic layer deposition process, an electroplating process, or other suitable process. After forming the contacts 162, the semiconductor device structure 100a is obtained. Furthermore, according to some embodiments, as illustrated in fig. 1O, the hard mask layer 108 ″ has a length L, and the length L is substantially the same as the channel length of the semiconductor device structure 100 a.

In an embodiment of the method of forming the semiconductor device structure 100a, a hard mask layer 108' is formed over the fin structure 110 prior to forming the dummy gate structure 122. Accordingly, the fin structure 110 may be protected during the heavy portion removal process for forming the dummy gate structure 122.

Furthermore, in embodiments of the semiconductor device structure 100a, since the second semiconductor layer 106 '(i.e., the nanowires) is covered by the etched hard mask layer 108 ″, the thickness of the portion of the gate structure 156 surrounding the second semiconductor layer 106' may be uniform (i.e., the thickness T)1And thickness T2High-offset). Accordingly, the threshold voltage variation of the semiconductor device may be reduced, and the second semiconductor layer 106' may be protected from being etched or damaged during the multiple patterning process for forming the gate structure 156 in different regions of the semiconductor device structure.

Fig. 3A-3D illustrate perspective views of various stages in forming a semiconductor device structure 100b, according to some embodiments. The steps of forming the semiconductor device structure 100b illustrated in fig. 3A-3D may be similar or identical to the steps of forming the semiconductor device structure 100a illustrated in fig. 1E, 1F, 1G, and 1O, with the difference being that the portion of the hard mask layer 108' exposed by the dummy gate structure 122 is further recessed in fig. 3A.

In some embodiments, as illustrated in fig. 3A, the hard mask layer 108' exposed by the dummy gate structure 122 is further recessed due to a heavy portion removal process for forming the dummy gate structure 122. As a result, according to some embodiments, the interface between the hard mask layer 108 'and the dummy gate electrode layer 120 is higher than the top surface of the hard mask layer 108' exposed by the dummy gate structure 122.

Thereafter, according to some embodiments, as illustrated in fig. 3B, the top surface of the hard mask layer 108' is further recessed with a gate spacer layer 132 covering. Next, according to some embodiments, as shown in fig. 3C, pairs of gate spacers 132 'are formed from gate spacer layer 132 on opposite sidewalls of dummy gate structure 122, and portions of fin structure 110 exposed by dummy gate structure 122 and gate spacers 132' are removed.

Thereafter, subsequent processes for forming the semiconductor device structure 100b are similar or identical to the processes for forming the semiconductor device structure 100a, which are not repeated herein, and according to some embodiments, the semiconductor device structure 100b as illustrated in fig. 3D is obtained.

Fig. 4A-1 and 4A-2 illustrate cross-sectional views of the semiconductor device structure 100b as illustrated in fig. 3D, according to some embodiments. Fig. 4A-1 is a sectional view taken along line I-I of fig. 3D, and fig. 4A-2 is a sectional view taken along line II-II of fig. 3D.

Even if the portions of the hard mask layer 108 ' exposed by the trenches 142 are etched during the process of forming the gaps 144 between the second semiconductor layers 106 ', the portions of the hard mask layer 108 ' exposed by the dummy gate structures 122 may be further recessed by the heavy portion removal process of forming the dummy gate structures 122 in the previous step, and the amount of recess of the hard mask layer 108 ' forming the dummy gate structures 122 is greater than the amount of recess of the gaps 144 formed between the second semiconductor layers 106 '. Thus, according to some embodiments, thickness T immediately below gate electrode layer 152 and surrounded by gate structure 1563Is greater than the thickness T directly under the gate spacer 1325

Further, according to some embodiments, due to the thickness T2The maximum and thickness T1Likewise, the portion of the gate structure 156 surrounding the second semiconductor layer 106' (i.e., the nanowire) and covered by the etched hard mask layer 108 "is uniform in thickness. Accordingly, a threshold voltage (Vt) variation of the semiconductor device may be reduced.

Fig. 5A-5D illustrate perspective views of stages in forming a semiconductor device structure 100c, according to some embodiments. The steps of forming the semiconductor device structure 100c illustrated in fig. 5A-5D may be similar or identical to the steps of forming the semiconductor device structure 100a illustrated in fig. 1E, 1F, 1G, and 1O, with the difference that the portion of the hard mask layer 108' exposed by the dummy gate structure 122 is completely removed in fig. 5A.

In some embodiments, as illustrated in fig. 5A, the hard mask layer 108' exposed by the dummy gate structure 122 is completely removed due to the heavy portion removal process for forming the dummy gate structure 122. Thus, according to some embodiments, the top surface of the first semiconductor layer 104' is partially exposed.

Thereafter, according to some embodiments, as illustrated in fig. 5B, the top surface of the first semiconductor layer 104' is covered with a gate spacer layer 132. Next, according to some embodiments, as shown in fig. 5C, pairs of gate spacers 132 'are formed from gate spacer layer 132 on opposite sidewalls of dummy gate structure 122, and portions of fin structure 110 exposed by dummy gate structure 122 and gate spacers 132' are removed.

Thereafter, subsequent processes for forming the semiconductor device structure 100c are similar or identical to the processes for forming the semiconductor device structure 100a, which are not repeated herein, and according to some embodiments, the semiconductor device structure 100c as illustrated in fig. 5D is obtained.

Fig. 6A-1 and 6A-2 illustrate cross-sectional views of a semiconductor device structure 100c as illustrated in fig. 5D, according to some embodiments. Fig. 6A-1 is a sectional view taken along line I-I of fig. 5D, and fig. 6A-2 is a sectional view taken along line II-II of fig. 5D.

According to some embodiments, since the heavy portion removal process that formed the dummy gate structure 122 in the previous step completely removes the portion of the hard mask layer 108 ' exposed by the dummy gate structure 122, the hard mask layer 108 ' does not have any portion directly under the gate spacers 132 '. Furthermore, according to some embodiments, the gate spacers 132' directly contact the inner spacers 134. According to some embodiments, the etched hard mask layer 108 ″ of the semiconductor device structure 100C does not have the thickness T as illustrated in fig. 6A-2, as compared to the semiconductor device structures 100a and 100b of fig. 2C-2 and 4A-25

In embodiments of the semiconductor device structures 100a,100b, and 100c (i.e., surrounding gate structures), the fin structures 110 are covered with an etched hard mask layer 108 ", and thus the fin structures 110 may be protected by the hard mask layer 108' during a heavy removal process to form the dummy gate structures 122.

In addition, since the second semiconductor layer 106' (i.e., the nanowire) is etchedAnd the gate structure 156 extends between the etched hard mask layer 108 "and the second semiconductor layer 106 ', the thickness of the portion of the gate structure 156 between the second semiconductor layer 106' and the etched hard mask layer 108" may be uniform (i.e., the thickness T1And thickness T2High-offset). Accordingly, variations in threshold voltage (Vt) of the semiconductor device may be reduced, and the second semiconductor layer 106' may be protected from being etched or damaged during a multiple patterning process to form the gate structure 156 in different regions of the semiconductor device.

Semiconductor device structures and methods of forming the same are provided. The semiconductor device structure may include forming a hard mask layer over the fin structure and forming a gate structure surrounding the hard mask layer and the fin structure, and a portion of the gate structure may be between the fin structure and the hard mask layer. The hard mask layer may protect the fin structure when forming the gate structure. Accordingly, a variation in threshold voltage (Vt) of the semiconductor device may be reduced and performance of the semiconductor device may be increased.

In some embodiments, a semiconductor device structure is provided. The semiconductor device structure includes a fin structure formed on a substrate, and a hard mask layer formed on the fin structure. The semiconductor device structure also includes a gate structure formed around the hard mask layer and the fin structure with a portion of the gate structure between the fin structure and the hard mask layer. The semiconductor device structure further includes a source/drain structure formed adjacent to the gate structure. In one embodiment, the gate structure further comprises: the high-k dielectric layer surrounds the hard mask layer and the fin structure, and the work function layer surrounds the high-k dielectric layer, the hard mask layer and the fin structure being separated by the high-k dielectric layer and the work function layer. In one embodiment, the semiconductor device structure further comprises: a contact etch stop layer is formed over the source/drain structure, and an interlayer dielectric structure is formed over the contact etch stop layer, the sidewalls of the hard mask layer being in direct contact with the contact etch stop layer and the interlayer dielectric structure. In one embodiment, sidewalls of the hard mask layer are substantially aligned with sidewalls of the fin structure. In one embodiment, the semiconductor device structure further comprises: an inner spacer is formed between the gate structure and the source/drain structure, the inner spacer being formed along a sidewall of a portion of the gate structure. In one embodiment, the thickness of the hard mask layer is substantially the same as the thickness of the fin structure.

In some embodiments, a semiconductor device structure is provided. The semiconductor device structure includes a fin structure formed over a substrate. The fin structure includes a plurality of nanowires. The semiconductor device structure also includes a gate structure formed over the fin structure. A first portion of the gate structure extends between the plurality of nanowires. The semiconductor device structure further includes a source/drain structure formed adjacent to the gate structure, and a dummy channel layer formed over the fin structure. The fin structure is separated from the dummy channel layer by a second portion of the gate structure. In an embodiment, a thickness of the first portion of the gate structure between the plurality of nanowires is substantially the same as a thickness of the second portion of the gate structure. In one embodiment, the semiconductor device structure further comprises: an inner spacer is formed between the second portion of the gate structure and the source/drain structure, the inner spacer being covered by the dummy channel layer. In one embodiment, the semiconductor device structure further comprises: a gate spacer is formed on the sidewalls of the gate structure, and the inner spacer and the gate spacer are separated by a first portion of the dummy channel layer. In one embodiment, the second portion of the dummy channel layer is directly above the second portion of the gate structure, and the height of the first portion of the dummy channel layer is different from the height of the second portion of the dummy channel layer. In one embodiment, the semiconductor device structure further comprises: the gate spacer is formed on the side wall of the gate structure and the side wall of the virtual channel layer, and the gate spacer is directly contacted with the inner spacer. In one embodiment, the length of the dummy channel layer is substantially the same as the channel length of the semiconductor device structure.

In some embodiments, methods of forming semiconductor device structures are provided. The method includes forming a fin structure on a substrate, wherein the fin structure includes a plurality of first semiconductor layers and a plurality of second semiconductor layers alternating with each other. The method for forming the semiconductor device structure also comprises forming a protection layer on the topmost layer of the plurality of first semiconductor layers, and forming a dummy gate structure on the protection layer. The method of forming the semiconductor device structure further includes forming a gate spacer on sidewalls of the dummy gate structure and removing the dummy gate structure and the plurality of first semiconductor layers to form a trench. In addition, the method of forming the semiconductor device structure includes forming a metal gate structure in the trench. The protective layer is surrounded by the metal gate structure. In one embodiment, the protective layer is partially etched during the step of forming the trench, and after forming the metal gate structure, the protective layer has a first portion covered by the gate spacer and a second portion covered by the metal gate structure, a first height of the first portion being greater than a second height of the second portion. In one embodiment, the ratio of the second height to the first height is greater than or equal to about 0.1 and less than 1. In one embodiment, the method further includes laterally etching the plurality of first semiconductor layers prior to removing the dummy gate structure, and forming inner spacers on sidewalls of remaining portions of the plurality of first semiconductor layers after the laterally etching. In one embodiment, the step of forming the gate spacer further comprises: forming a gate spacer layer on the sidewalls of the dummy gate and covering the top surface of the protection layer, and partially removing the gate spacer layer such that a gate spacer is formed. In one embodiment, the sidewalls of the protective layer are in direct contact with the gate spacers. In one embodiment, the step of forming the gate spacer further comprises: forming a gate spacer layer on sidewalls of the dummy gate structure, the gate spacer layer being in direct contact with a topmost layer of the plurality of first semiconductor layers, and partially removing the gate spacer layer such that gate spacers are formed.

The foregoing outlines features of various embodiments so that those skilled in the art may better understand the aspects of the present embodiments. It should be appreciated by those skilled in the art that other processes and structures can be readily devised or modified based on the embodiments of the present invention to achieve the same purposes and/or to achieve the same advantages as described herein. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the invention as set forth in the appended claims. Various changes, substitutions, or alterations may be made herein without departing from the spirit and scope of the embodiments of the invention as defined by the appended claims. Moreover, while the present invention has been described in terms of several preferred embodiments, it is not intended to be limited to the embodiments disclosed herein, and not all advantages have been described in detail.

47页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:负微分电阻电路以及神经元晶体管结构

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!