Steiner tree construction method considering barrier internal wiring under X structure

文档序号:1113322 发布日期:2020-09-29 浏览:23次 中文

阅读说明:本技术 X结构下考虑障碍内布线的Steiner树构建方法 (Steiner tree construction method considering barrier internal wiring under X structure ) 是由 刘耿耿 汤浩 徐赛娟 陈俊杰 于 2020-06-18 设计创作,主要内容包括:本发明涉及一种X结构下考虑障碍内布线的Steiner树构建方法,包括以下步骤:步骤S1:初始化粒子群,其中每个粒子表示一个连接所有给定引脚的X结构Steiner树;步骤S2:对粒子群进行预处理,生成包含所有粒子的任意两个引脚之间的所有连接信息的查找表;步骤S3:粒子群飞行,并通过变异策略,交叉策略以及粒子适应值函数迭代,得到优秀粒子;步骤S4:对得到的优秀粒子继续调整,引入障碍物角点为Pseudo-Steiner点的调整策略,确保所有边在障碍内的连通分量长度都不超过门限值L,得到调整后的优秀粒子;步骤S5:对调整后的优秀粒子进行进一步的精炼,得到最优粒子,即最优的X结构Steiner树。本发明能够充分利用障碍内资源进行布线,显著缩短了总布线长度。(The invention relates to a Steiner tree construction method considering barrier inner wiring under an X structure, which comprises the following steps: step S1, initializing particle swarms, wherein each particle represents an X-structure Steiner tree connected with all given pins; step S2, preprocessing the particle swarm to generate a lookup table containing all connection information between any two pins of all particles; s4, continuously adjusting the obtained excellent particles, introducing an adjusting strategy with an obstacle corner point as a Pseudo-Steiner point, ensuring that the lengths of connected components of all sides in the obstacle do not exceed a threshold value L, and obtaining the adjusted excellent particles; and step S5, further refining the adjusted excellent particles to obtain the optimal particles, namely the optimal X-structure Steiner tree. The invention can fully utilize resources in the obstacles to carry out wiring, and obviously shortens the total wiring length.)

1. A Steiner tree construction method considering wiring in barriers under an X structure is characterized by comprising the following steps:

step S1, initializing particle swarms, wherein each particle represents an X-structure Steiner tree connected with all given pins;

step S2, preprocessing the particle swarm to generate a lookup table containing all connection information between any two pins of all particles;

step S3, performing particle swarm flight, and iterating through a variation strategy, a crossing strategy and a particle adaptive value function to obtain excellent particles;

step S4, continuously adjusting the obtained excellent particles, introducing an adjusting strategy that the corner point of the obstacle is a Pseudo-Steiner point, ensuring that the lengths of the connected components of all the edges in the obstacle do not exceed a threshold value L, and obtaining the adjusted excellent particles;

and step S5, further refining the adjusted excellent particles to obtain the optimal particles, namely the optimal X-structure Steiner tree.

2. The method for constructing Steiner tree under X structure considering obstacle inner wiring according to claim 1, wherein said step S1 employs proportional random generation or prim algorithm to generate initial X structure Steiner tree, i.e. initial particles, and further obtains initial particle group.

3. The method for constructing a Steiner tree considering intra-obstacle wiring under an X-structure as claimed in claim 1, wherein said step S2 is specifically:

step S21, let P ═ P1,P2,P3…PnIs a set of pins, O ═ O1,O2,O3…OmIs a set of obstacles;

step S22, for each pair of pins, calculate the edge pipjThe number of obstacles for which the length of the connected component within the obstacle exceeds a threshold value L;

step S23, recording all these obstacles and the intra-obstacle communication components as a set { O }kWherein c represents piAnd pjBetween the twoAlternatively, the records of all of these possible edges constitute the final look-up table.

4. The method for constructing a Steiner tree under an X structure considering barrier inner wiring according to claim 1, wherein the step S3 adopts a discrete location updating method based on genetic operation and union, and the updating formula of the particles is represented as:

Figure FDA0002545732820000021

wherein w is the inertial weight, c1And c2Is an acceleration constant. F1Denotes spontaneous mutation operation, and F2And F3Represents a crossover operation; r is1,r2,r3Is a random number over the interval [0,1 ]

(1) Spontaneous variation of the particles is expressed as:

Figure FDA0002545732820000022

wherein w represents the mutation probability;

(2) the individual cognitive experience of the particles is expressed as:

wherein c is1Representing the cross probability of the particle with its individual optimal solution;

(3) the global perceptual experience of the particle is expressed as:

wherein c is2Representing the probability of the intersection of the particle with the global optimal solution.

5. The method for constructing a Steiner tree considering intra-obstacle wires under an X structure as claimed in claim 1, wherein the mutation strategy is specifically: the particles generate random variation, the edge changing strategy is adopted, namely, one edge or two edges are removed to enable the particles to become a forest, and then a new tree is synthesized by randomly adding edges by utilizing a method of searching sets.

6. The method for constructing a Steiner tree considering intra-obstacle wires under an X structure as claimed in claim 1, wherein the mutation strategy is specifically: and (4) comparing the particle with the individual optimum or the group optimum, deleting different edges of the two trees, and carrying out any edge adding in a mode of combining and searching the rest trees until the particle becomes a complete tree.

7. The method for constructing a Steiner tree considering intra-obstacle wiring under an X-structure as claimed in claim 1, wherein said step S4 is specifically:

step S41, aiming at the global optimum particle giEach side pq is added into a list to be checked for checking, and whether the pq avoids all obstacles or whether the connected component in the obstacles is smaller than L is checked through a lookup table; if yes, repeating the step to check the next edge until the last edge; otherwise, go to step S42;

and step S42, listing all barriers encountered by pq in a table look-up mode, and finding the barrier closest to p according to the distance between p and the barrier. Current starting point p, current obstacle O ═ Ok1;

Step S43 from Ok1Selecting an angular point c between pqs and closest to the pq;

step S44 deleting giCalculating the connection information of pc and cq according to the pq side information and adding the information into a lookup table;

step S45 connecting pc, qc and adding them to g according to the criteria of choice 0 and choice 1 over choice 2 and choice 3iIn the side information of (2); the edges pc, cq are then added to the list to be checked.

8. The method for constructing a Steiner tree considering intra-obstacle wiring under an X-structure as claimed in claim 1, wherein said step S5 is specifically:

step S51, scanning the global optimal particle giCounting the degree of each end point p, and simultaneously recording all end points connected to p;

step S52, for each endpoint p, if the degree of p is d, enumerate 4 of all pdAnd selecting a combination with the most sharing length and the minimum fitness value by the routing selection combination.

Technical Field

The invention relates to the field of design of super-large-scale integrated circuits, in particular to a Steiner tree construction method considering barrier inner wiring under an X structure.

Background

The wiring problem in Very Large Scale Integration (VLSI) has been a great concern for researchers, and is one of the most important steps in the physical design process of VLSI. On the other hand, as Integrated Circuit (IC) technology advances, modern very large scale integrated circuit designs have shifted to system-on-chip modes, the density of chips has increased greatly, and more obstacles appear in physical design processes, such as IP blocks, macro cells, and the like. In wiring, it is particularly important to consider obstacles. This makes the Obstacle-avoiding Steiner minimum Tree (OASMT) the most popular among them, which is classified into the right-angle Obstacle-avoiding Steiner minimum Tree (OASMT) and the X-structure Obstacle Steiner minimum Tree (OASMT). In practice, the routable area usually contains multiple routing Layers, and the barrier often only occupies the Device Layer (Device Layers) and some lower Metal Layers (Metal Layers), and does not completely block routing, i.e., routing can be performed inside the barrier. This has led to the advent of research that considers the routing within the barrier, namely the Length-constrained Steiner Minimum Tree (LRSMT), with a threshold value L for the Length-constrained Steiner Minimum Tree. However, LRSMT research on X structure is rare, and the invention proposes a Steiner tree algorithm considering barrier inner wiring based on X structure under the condition of considering barrier inner wiring.

Most of the work in this field is currently focused on manhattan structures (right-angled structures), and many methods for constructing right-angled Steiner minimum trees (RSMT) using heuristic ideas are proposed. With the introduction of the exact algorithm based on the manhattan architecture, most researchers only optimize the operation speed. One of the most important issues is the wiring, and these heuristics make it harder to make new contributions.

Disclosure of Invention

In view of the above, the present invention provides a method for constructing a Steiner tree in consideration of an obstacle inner wiring under an X structure, which can make full use of an obstacle inner resource to perform wiring and significantly shorten a total wiring length.

In order to achieve the purpose, the invention adopts the following technical scheme:

a Steiner tree construction method considering wiring in barriers under an X structure comprises the following steps:

step S1, initializing particle swarms, wherein each particle represents an X-structure Steiner tree connected with all given pins;

step S2, preprocessing the particle swarm to generate a lookup table containing all connection information between any two pins of all particles;

step S3, performing particle swarm flight, and iterating through a variation strategy, a crossing strategy and a particle adaptive value function to obtain excellent particles;

step S4, continuously adjusting the obtained excellent particles, introducing an adjusting strategy that the corner point of the obstacle is a Pseudo-Steiner point, ensuring that the lengths of the connected components of all the edges in the obstacle do not exceed a threshold value L, and obtaining the adjusted excellent particles;

and step S5, further refining the adjusted excellent particles to obtain the optimal particles, namely the optimal X-structure Steiner tree.

Further, in step S1, an initial X-structure Steiner tree, that is, an initial particle, is generated by using a proportional random generation algorithm or a prim algorithm, and an initialized particle group is further obtained.

Further, the step S2 is specifically:

step S21, let P ═ P1,P2,P3…PnIs a set of pins, O ═ O1,O2,O3…OmIs a set of obstacles;

step S22, for each pair of pins, calculate the edge pipjThe number of obstacles for which the length of the connected component within the obstacle exceeds a threshold value L;

step S23, recording all these obstacles and the intra-obstacle communication components as a set { O }kWherein c represents piAnd pjThe records of all these possible edges constitute the final look-up table.

Further, the step S3 adopts a discrete location updating method based on genetic manipulation and union, and the updating formula of the particles is represented as:

Figure BDA0002545732830000031

wherein w is the inertial weight, c1And c2Is an acceleration constant. F1Denotes spontaneous mutation operation, and F2And F3Represents a crossover operation; r is1,r2,r3Is a random number over the interval [0,1 ]

(1) Spontaneous variation of the particles is expressed as:

Figure BDA0002545732830000041

wherein w represents the mutation probability;

(2) the individual cognitive experience of the particles is expressed as:

wherein c is1Representing the cross probability of the particle with its individual optimal solution;

(3) the global perceptual experience of the particle is expressed as:

wherein c is2Indicating particleCross probability with the global optimal solution.

Further, the mutation strategy is specifically as follows: the particles generate random variation, the edge changing strategy is adopted, namely, one edge or two edges are removed to enable the particles to become a forest, and then a new tree is synthesized by randomly adding edges by utilizing a method of searching sets.

Further, the mutation strategy is specifically as follows: and (4) comparing the particle with the individual optimum or the group optimum, deleting different edges of the two trees, and carrying out any edge adding in a mode of combining and searching the rest trees until the particle becomes a complete tree.

Further, the step S4 is specifically:

step S41, aiming at the global optimum particle giEach side pq is added into a list to be checked for checking, and whether the pq avoids all obstacles or whether the connected component in the obstacles is smaller than L is checked through a lookup table; if yes, repeating the step to check the next edge until the last edge; otherwise, go to step S42;

and step S42, listing all barriers encountered by pq in a table look-up mode, and finding the barrier closest to p according to the distance between p and the barrier. Current starting point p, current obstacle O ═ Ok1

Step S43 from Ok1Selecting an angular point c between pqs and closest to the pq;

step S44 deleting giCalculating the connection information of pc and cq according to the pq side information and adding the information into a lookup table;

step S45 connecting pc, qc and adding them to g according to the criteria of choice 0 and choice 1 over choice 2 and choice 3iIn the side information of (2); the edges pc, cq are then added to the list to be checked.

Further, the step S5 is specifically:

step S51, scanning the global optimal particle giCounting the degree of each end point p, and simultaneously recording all end points connected to p;

step S52, for each endpoint p, if the degree of p is d, enumerate 4 of all pdSelecting the most shared length by selecting the combination of wiringAnd (4) the combination with the minimum fitness value.

Compared with the prior art, the invention has the following beneficial effects:

the invention can fully utilize resources in the obstacles to carry out wiring, and obviously shortens the total wiring length.

Drawings

FIG. 1 shows 4 options for Pseudo-Steiner in one embodiment of the present invention;

FIG. 2 is an example of an encoding of a particle in an embodiment of the invention;

FIG. 3 is a diagram illustrating a connection method of two pins according to an embodiment of the present invention;

FIG. 4 is an entry of a lookup table according to an embodiment of the present invention;

FIG. 5 shows a variation of particles in an embodiment of the invention;

FIG. 6 is a cross of particles in an embodiment of the invention;

FIG. 7 is an example of a need for adjustment in one embodiment of the present invention;

FIG. 8 is a graph illustrating optimization of line lengths for comparing portions of a right angle structure LRSMT [16] to solve the LRSMT problem in an embodiment of the present invention;

fig. 9 is a diagram illustrating the effects of 200 pins, 500 obstacles, and L ═ LBB × 0% in an embodiment of the present invention; fig. 10 is a diagram illustrating the effect of 200 pins, 500 obstacles, and L ═ LBB × 1% in an embodiment of the present invention;

fig. 11 is a diagram illustrating the effect of 200 pins, 500 obstacles, and L ═ LBB × 5% in an embodiment of the present invention;

fig. 12 is a diagram illustrating the effect of 200 pins, 500 obstacles, and L ═ LBB × 10% in an embodiment of the present invention;

fig. 13 is an effect diagram of 200 pins, 500 obstacles and infinite L according to an embodiment of the present invention.

Detailed Description

The invention is further explained below with reference to the drawings and the embodiments.

In this embodiment, in order to make a general technician better understand the technical solution of the present invention, the following are set:

definition 1 (disorder): in the LRSMT problem, the obstacles are rectangles of arbitrary size, and any two obstacles except the boundary portion cannot overlap.

Definition 2 (wiring structure): in a two-dimensional lambda-geometry, only directions with an angle i pi/lambda are allowed, where i is an arbitrary number, lambda is an integer and lambda > 2.

(1) A right angle configuration: when the value of λ is 2, the wiring direction is i π/2, including both directions of 0 ° and 90 °.

(2) The structure of X: when the value of λ is 4, the wiring direction is i π/4, including 0 °, 45 °, 90 °, and 135 °.

Definition 3(Pseudo-Steiner point): the endpoints except the pin are all referred to as Pseudo-Steiner points.

Definition 4 (half perimeter): for a length of L1Width L2The rectangular obstacle O has a Half Perimeter (HPWL) L1+L2

Definition 5(0 option): as shown in (2) in FIG. 1, (X)1,Y1) And (X)2,Y2) Two endpoints being edge L, namely endpoint P and endpoint Q, where X1<X2. The selection of Pseudo-Steiner for side L is shown in FIG. 1(2), where the selection is 0 by first guiding straight side from terminal P and then guiding oblique side to terminal Q. The point S in FIG. 1(2) is the point 0 where Pseudo-Steiner is selected.

Definition 6(1 option): as shown in (3) in FIG. 1, (X)1,Y1) And (X)2,Y2) Two endpoints being edge L, namely endpoint P and endpoint Q, where X1<X2. The choice of Pseudo-Steiner for side L is shown in FIG. 1 (3), where the choice is called 1 by first guiding the hypotenuse from end P and then guiding the straight side to end Q. The point S in FIG. 1 (3) is the point 1 for selecting Pseudo-Steiner.

Definition 7(2 options): as shown in (4) in FIG. 1, (X)1,Y1) And (X)2,Y2) Two endpoints being edge L, namely endpoint P and endpoint Q, where X1<X2. The selection of Pseudo-Steiner corresponding to the side L is shown in (4) in FIG. 1, and the selection is called 2 when the vertical side is firstly led from the end point P and then the horizontal side is led to the end point Q. The point S in (4) in FIG. 1 is the 2-pointChoose Pseudo-Steiner point.

Definition 8(3 options): as shown in (5) in FIG. 1, (X)1,Y1) And (X)2,Y2) Two endpoints being edge L, namely endpoint P and endpoint Q, where X1<X2. The selection of Pseudo-Steiner corresponding to the edge L is shown as (5) in FIG. 1, and the selection is called 3 when the horizontal edge is firstly led from the end point P and then the vertical edge is led to the end point Q. The point S in FIG. 1 (5) is the point 3 selection Pseudo-Steiner.

In this embodiment, a method for constructing a Steiner tree considering an obstacle inner wiring under an X structure is provided, which includes the following steps:

step S1, initializing particle swarms, wherein each particle represents an X-structure Steiner tree connected with all given pins;

in this embodiment, the PSO algorithm is used, the PSO is initialized to a population of random particles (random solution), and then the optimal solution is found through iteration. In each iteration, the particle updates itself by tracking two "extrema". One is the optimal solution found by the particle itself, called the individual extremum Pbest. The other extreme is the best solution currently found for the whole population, this extreme is the global extreme Gbest

The particles are updated for speed and position according to the following equations:

Figure BDA0002545732830000081

Figure BDA0002545732830000082

in the formula (I), the compound is shown in the specification,is the vector of the velocity of the particle,as the position of the current particle, pijThe optimal solution, g, found for the particle itselfiThe optimal solution found for the entire population, w is the inertial weight, c1And c2As an acceleration factor, r1And r2Two are in [0,1 ]]Random numbers that vary within a range.

In this embodiment, a unique serial number is provided for each pin and barrier. The candidate junction tree is represented by a set of spanning tree edges, and a variable is added to each edge to indicate the selection of the junction of the Pseudo-Steiner point, so that the junction is converted into an X-structure Steiner tree. As shown in Definitions 5 through 8, the routing options for each Pseudo-Steiner include four types. If there are n pins in the line, the spanning tree will include n-1 edges, n-1 Pseudo-Steiner points, and one additional variable, the fitness value of the particle. The length of one particle is therefore 3 x (n-1) + 1. As shown in fig. 2, a particle can be represented as the following string of numbers: 12123324028.3, where 28.3 represents the fitness value of the particle. The first string 121 of numbers represents an edge that leads to pin 1 and pin 2, with a 1 selection connected.

Since only the information of the pins is initially obtained, the present embodiment preferably uses a proportional random generation or prim algorithm to generate an initial X-structure Steiner tree, i.e., an initial particle. Such encoding of particles includes knowing all possible solutions of the space, with one-to-one correspondence to potential solutions of the space.

Step S2, preprocessing the particle swarm to generate a lookup table containing all connection information between any two pins of all particles;

in this embodiment, let P ═ P1,P2,P3…PnIs a set of pins, O ═ O1,O2,O3…OmIs a set of obstacles. For each pair of pins, e.g. piAnd pjWe compute the edge pipjThe number of obstacles whose connected component length within an obstacle exceeds a threshold value L, and all of these obstacles and the in-obstacle connected components are recorded as a set { O }kWherein c represents piAnd pjTo select between. The records of all these possible edges constitute the final look-up table.

As fig. 3 is a two-pin example diagram, fig. 4 records the contents of two pins in the lookup table: the information that the connection mode of the pin 19 and the pin 25 passes through is recorded, if 0 selection is adopted, the number of obstacles between the connection lines is 1, the number of the obstacles is 5, and the intra-obstacle communication component is 31.1. And 3, selecting, wherein the number of the obstacles between the connecting lines is 2, and the two are respectively: obstacle number 5, intra-obstacle connected component 30; obstacle number 6, intra-obstacle connected component 40. When 2 selection is adopted, the obstacle is avoided, and the number of the obstacles in between is 0.

Step S3, performing particle swarm flight, and iterating through a variation strategy, a crossing strategy and a particle adaptive value function to obtain excellent particles;

in this embodiment, a discrete location update method based on genetic manipulation and union is employed. The updated formula for the particle is expressed as:

wherein w is the inertial weight, c1And c2Is an acceleration constant. F1Denotes spontaneous mutation operation, and F2And F3Represents a crossover operation; r is1,r2,r3Is a random number over the interval [0, 1).

(1) Spontaneous variation of the particles can be expressed as:

Figure BDA0002545732830000102

where w represents the mutation probability.

(2) The individual cognitive experience of the particles can be expressed as:

Figure BDA0002545732830000103

wherein c is1Representing the probability of the intersection of a particle with its individual best solution.

(3) The global perceptual experience of a particle can be expressed as:

Figure BDA0002545732830000111

wherein c is2Representing the probability of the intersection of the particle with the global optimal solution.

In this embodiment, referring to fig. 5, the mutation strategy is as follows:

the particles generate random variation, and the edge changing strategy is adopted, namely one edge or two edges are removed to enable the particles to become a forest, and then a new tree is synthesized by randomly adding edges by utilizing a method of searching sets. The principle is shown in fig. 5, for simplicity we use a straight line instead of an X configuration. The specific steps of the spontaneous mutation operation are as follows:

(1) an edge is randomly removed from the spanning tree.

(2) The remaining edges are scanned and all points are divided into two sets of points using a union look-up.

(3) Randomly selecting two points p1And p2

(4) Checking point p1And point p2If the data is in the same set, returning to the step (3).

(5) Will point p1And point p2Connect to form a new edge.

In this embodiment, referring to fig. 6, the interleaving policy is as follows:

the tree (particle) is processed through the optimization with the individual or the group, different edges of the two trees are deleted, and the rest is processed through the combination and search mode to carry out any edge adding (edges are different edges of the two trees) until the tree becomes a complete tree. Each pin has a unique serial number. When the intersection operation is performed, all edges are sorted according to the sequence numbers of the pins in the two spanning trees from small to large. Then we select the same edge from the two sorted trees as one set and the remaining different edges as another set. All edges in the first set act as edges of the new spanning tree. Then, we randomly choose an edge from the second group and add it to the new spanning tree until a complete spanning tree, and we use the union to prevent the ring from being generated. The principle is shown in fig. 6, for simplicity we use a straight line as a schematic. The specific steps of the spontaneous mutation operation are as follows:

(1) and sequencing all edges of each father node according to the sequence number of the first pin, and if the edges are the same, sequencing according to the sequence number of the second pin.

(2) Two ordered sets of edges are scanned. The same edge as the new spanning tree is selected. At the same time, all other edges are copied into another set S.

(3) An edge is randomly selected from S and added to the new spanning tree until the complete tree is formed. While the union is used to prevent loops from forming. ,

step S4, continuously adjusting the obtained excellent particles, introducing an adjusting strategy that the corner point of the obstacle is a Pseudo-Steiner point, ensuring that the lengths of the connected components of all the edges in the obstacle do not exceed a threshold value L, and obtaining the adjusted excellent particles;

in this embodiment, after the particle flight is over, the final globally optimal particle g of the population is selectediAs the final X-structure Steiner tree. Particle giMay be excellent in that it may implement LRSMT directly or may be across some obstacles. For some cases, such as fig. 7, it is impossible to avoid passing obstacles only by changing the wiring manner, and the wire length component in the obstacles is always larger than the threshold value L, so we solve these cases by introducing a new Pseudo-Steiner point strategy, i.e. an adjustment strategy. The specific steps of the adjustment strategy are as follows:

(1) for giEach side pq is added into a list to be checked for checking, and whether pq avoids all barriers or whether the connected component in the barriers is smaller than L is checked through a lookup table. If so, this step is repeated to check the next edge until the last edge. Otherwise, go to step (2).

(2) And listing all the obstacles encountered by pq by means of table lookup, and finding the obstacle closest to p according to the distance between p and the obstacle. Current starting point p, current obstacle O ═ Ok1

(3) From Ok1The corner point c between pq and closest to the straight line pq is selected. Deleting giThe pq side information of the computer, the connection information of pc and cq is calculatedAnd adds this information to the look-up table. At the same time, connect pc, qc and add them to g according to the criteria of choice 0 and choice 1 over choice 2 and choice 3iIn the side information of (1). The edges pc, cq are then added to the list to be checked.

The adjustment strategy introduces the corner point of the obstacle as a new Pseudo-Steiner point, successfully avoids the obstacle, enables the probability of the shared edge to be higher, simplifies the process, and is suitable for a wiring model.

And step S5, further refining the adjusted excellent particles to obtain the optimal particles, namely the optimal X-structure Steiner tree.

In this embodiment, although the adjusted line is already the LRSMT, the routing selection during the adjustment process does not take into account the shared length, so the result may still contain more or less non-optimal structures, and we complement the refinement strategy. The method can convert all non-optimal structures into optimal structures, and simultaneously avoids overlarge wiring in barriers. The method comprises the following specific steps:

(1) scanning particle giFor each edge of p, the degree of each end point p is counted, and all end points connected to p are recorded at the same time.

(2) For each endpoint p, if the degree of p is d, then 4 for all p are enumerateddAnd selecting a combination with the most sharing length and the minimum fitness value by the routing selection combination.

During the refining process, two points need to be noted. First, whether a combination of endpoints meets the requirements can be directly determined by looking up a table, because we add the necessary corner connection information in the adjustment process. Secondly, for each obstacle avoidance combination, we may need to calculate g in order to select the optimal combination of this particleiRather than just the length of the combination itself. The method effectively improves the refining efficiency.

In this embodiment, to verify the effectiveness of the method, the experiment is performed on a PC with a 2.6GHz processor and a 4G memory. 16 standard test circuits commonly used in the conventional OASMT literature were tested for comparison. Percent Improvement (PI) was used to compare the quality of the solution of the present invention and other methods and some controls of the parameters of the invention:

in solving the LRSMT problem, the threshold L is set to different proportional lengths of the longer side length (denoted as LBB) of the rectangular wiring region boundary of the test circuit[1]

We compared the total wiring length of our invention in the case of L ═ LBB × 0%, i.e. the OAOSMT problem, with the recent study of X-configuration wiring on the plane. Increasing in pin count, for eleven test cases, where PORA does not provide results for rc 11. The percent improvement is calculated from the above formula. As shown in the experimental data tables 1, 2 and 3, compared with the method of the present invention, the total wiring length of the method of the present invention is improved by 0.58% to 42.66% relative to Oct, by 17.12% on average, by-1.11% to 4.93% relative to Huang, by 1.15% on average, by-0.18% to 1.61% relative to PSO, by 0.89% on average, by-1.11% to 4.38% relative to FH-OAOS, by 0.81% on average, by 3.33% to 8.17% relative to PORA, by 5.97% on average, by-10.05% to 13.78% relative to Lee, and by 3.28% on average.

Table 1: comparison of the present invention L ═ LBB 0% with OAOSMT wired in recent years

Figure BDA0002545732830000151

Table 2: the invention has the advantage that the L-LBB 0% is improved compared with the OAOSMT wired in recent years

Table 3: the invention has the advantage that the L-LBB 0% is improved compared with the OAOSMT wired in recent years

Figure BDA0002545732830000153

Figure BDA0002545732830000161

Table 4 lists the wire lengths obtained by solving the LRSMT problem according to the present invention and the wire lengths obtained by solving the LRSMT problem with the right-angle structure, columns 4 to 8 are solutions of the threshold value L of the X-structure wiring model according to the present invention for solving the LRSMT problem at different proportional lengths of the longer side of the rectangular wiring region boundary of the test circuit (denoted as LBB), columns 9 to 13 are solutions of the threshold value L of the right-angle wiring structure model for solving the LRSMT problem at different proportional lengths of the longer side of the rectangular wiring region boundary of the test circuit (denoted as LBB), but wherein L ═ LBB 0% and L ═ LBB 1% of the fifth set of data do not obtain the results. The table shows that the invention obtains better solution for each data set. Table 5 lists the improvement ratio of each data group, where each data group is improved by 1.58% to 15.22% at L ═ LBB ×, by 7.22% on average, each data group is optimized by 3.45% to 15.22% at L ═ LBB ×, by 7.69% on average, each data group is optimized by 3.41% to 12.56% at L ═ LBB ×, by 7.43% on average, each data group is optimized by 3.41% to 12.68% at L ═ LBB ×, by 7.73% on average, and each data group is optimized by 3.41% to 12.58% at L ═ LBB ×, by 7.69% on average. The overall wire length is optimized to 7.22% -7.73%. Fig. 8 shows the optimization of the X structure to the right-angle structure more intuitively. Fig. 9 to fig. 13 show the wiring diagram drawn by matlab for each result when there are 100 input pins, 500 obstacles, L ═ LBB × 0%, L ═ LBB × 1%, L ═ LBB × 5%, L ═ LBB × 10%, and L ═ infinity, which shows that the line length in the obstacles is allowed to increase gradually and the bus length is optimized gradually, and the method of the present invention solves the LRSMT problem more effectively.

The above description is only a preferred embodiment of the present invention, and all equivalent changes and modifications made in accordance with the claims of the present invention should be covered by the present invention.

18页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:超大规模集成电路下基于通孔感知的并行层分配方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类