Semiconductor structure and semiconductor manufacturing method

文档序号:1523028 发布日期:2020-02-11 浏览:8次 中文

阅读说明:本技术 半导体结构及半导体制造方法 (Semiconductor structure and semiconductor manufacturing method ) 是由 郑新立 张聿骐 于 2019-07-26 设计创作,主要内容包括:本发明实施例是有关半导体结构及半导体制造方法。所述半导体结构包含:衬底;有源区域,其包含夹置于两个源极/漏极区之间的沟道区;绝缘区,其从俯视图围绕所述有源区域;及电介质层,其放置在所述绝缘区与所述源极/漏极区之间的界面上方且与所述界面接触。本发明实施例还公开一种制造半导体结构的方法。(Embodiments of the present invention relate to semiconductor structures and semiconductor fabrication methods. The semiconductor structure includes: a substrate; an active region comprising a channel region sandwiched between two source/drain regions; an insulating region surrounding the active region from a top view; and a dielectric layer placed over and in contact with an interface between the insulating region and the source/drain region. The embodiment of the invention also discloses a method for manufacturing the semiconductor structure.)

1. A semiconductor structure, comprising:

a substrate;

an active region comprising a channel region and two source/drain regions, the channel region being sandwiched between the two source/drain regions;

an insulating region surrounding the active region from a top view; and

a dielectric layer placed over and covering an interface between the insulating region and the source/drain region.

2. The semiconductor structure of claim 1, further comprising at least one metal silicide member over and in contact with the active region, wherein the metal silicide member does not extend to the interface between the insulating region and the source/drain regions, wherein the metal silicide member laterally abuts the dielectric layer.

3. The semiconductor structure of claim 1, wherein the dielectric layer comprises silicon oxide, silicon nitride, or silicon oxynitride.

4. The semiconductor structure of claim 2, further comprising a contact etch stop layer over the dielectric layer and the metal silicide member, and further comprising a contact through the contact etch stop layer and in contact with the metal silicide member.

5. The semiconductor structure of claim 1, wherein the dielectric layer overlaps the source/drain regions with an overlap width substantially greater than about 0.2 μ ι η.

6. The semiconductor structure of claim 1, wherein a thickness of the dielectric layer is in a range from about 200 to 600 angstroms.

7. A semiconductor structure, comprising:

a semiconductor substrate;

a gate structure over the semiconductor substrate, the gate structure extending in a first direction;

an active area pattern in the semiconductor substrate, the active area pattern extending in a second direction perpendicular to the first direction, wherein the active area pattern includes a first edge and a second edge along the second direction;

an insulating region surrounding the active region pattern;

a first dielectric strip over the semiconductor substrate, wherein the first dielectric strip directly covers at least an interface between the first edge of the active area pattern and the insulating region; and

a second dielectric strip over the semiconductor substrate, the second dielectric strip spaced apart from the first dielectric strip, wherein the second dielectric strip directly covers at least an interface between the second edge of the active area pattern and a second insulating region.

8. The semiconductor structure of claim 7, further comprising one silicide layer over and in contact with the active area pattern, wherein the silicide layer is between the first dielectric strip and the second dielectric strip, and further comprising a contact etch stop layer over the first dielectric strip, the second dielectric strip, and the silicide layer, and further comprising a contact through the contact etch stop layer and in contact with the silicide layer.

9. A method of fabricating a semiconductor structure, comprising:

receiving a semiconductor substrate;

forming an insulating region in the semiconductor substrate to define an active region in the semiconductor substrate;

forming a gate structure across the active region defined in the semiconductor substrate;

forming a source or drain region in the active region, wherein the source or drain region abuts the insulating region; and

forming a photoresist protective dielectric film over the source or drain region, the insulating region, and the gate structure, wherein the photoresist protective dielectric film overlaps an interface between the source or drain region and the insulating region and exposes a portion of the source or drain region and a portion of the gate structure.

10. The method of claim 9, further comprising:

forming a metal layer over the exposed portion of the source or drain region and the exposed portion of the gate structure; and

annealing the semiconductor substrate.

Technical Field

Embodiments of the present invention relate to semiconductor structures and semiconductor fabrication methods.

Background

Shallow Trench Isolation (STI) is widely used in semiconductor manufacturing to provide isolation of active areas on a substrate. However, STI is susceptible to noise and leakage problems. Therefore, there is a need to alleviate the above problems.

Disclosure of Invention

An embodiment of the present invention relates to a semiconductor structure, which includes: a substrate; an active region comprising a channel region and two source/drain regions, the channel region being sandwiched between the two source/drain regions; an insulating region surrounding the active region from a top view; and a dielectric layer placed over and covering an interface between the insulating region and the source/drain region.

An embodiment of the present invention relates to a semiconductor structure, which includes: a semiconductor substrate; a gate structure over the semiconductor substrate, the gate structure extending in a first direction; an active area pattern in the semiconductor substrate, the active area pattern extending in a second direction perpendicular to the first direction, wherein the active area pattern includes a first edge and a second edge along the second direction; an insulating region surrounding the active region pattern; a first dielectric strip over the semiconductor substrate, wherein the first dielectric strip directly covers at least an interface between the first edge of the active area pattern and the insulating region; and a second dielectric strip over the semiconductor substrate, the second dielectric strip being spaced apart from the first dielectric strip, wherein the second dielectric strip at least directly covers an interface between the second edge of the active area pattern and a second insulating region.

One embodiment of the present invention relates to a method of fabricating a semiconductor structure, comprising: receiving a semiconductor substrate; forming an insulating region in the semiconductor substrate to define an active region in the semiconductor substrate; forming a gate structure across the active region defined in the semiconductor substrate; forming a source or drain region in the active region, wherein the source or drain region abuts the insulating region; and forming a photoresist protective dielectric film over the source or drain region, the insulating region, and the gate structure, wherein the photoresist protective dielectric film overlaps an interface between the source or drain region and the insulating region and exposes a portion of the source or drain region and a portion of the gate structure.

Drawings

Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying drawing figures. It should be noted that the various components are not drawn to scale in accordance with standard practice in the industry. In fact, the dimensions of the various elements may be arbitrarily increased or decreased for clarity of discussion.

Fig. 1-2 illustrate cross-sectional views of a semiconductor device in process;

3A, 4A, 5A, 6A and 7A are diagrams illustrating fragment layout views of a semiconductor device at various stages of fabrication, according to some embodiments of the present disclosure; and

fig. 3B, 4B, 5B, 6B, and 7B are diagrams illustrating fragmentary cross-sectional views of a semiconductor device at various stages of fabrication, according to some embodiments of the present disclosure.

Detailed Description

The following disclosure provides many different embodiments, or examples, for implementing different components of the disclosure. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course, such are merely examples and are not intended to be limiting. For example, a first means formed over or on a second means in the following description may include embodiments in which the first means and the second means are formed in direct contact, and may also include embodiments in which additional means may be formed between the first means and the second means, such that the first means and the second means may not be in direct contact. Additionally, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.

Moreover, for ease of description, spatially relative terms such as "below …," "below …," "below," "above …," "on," and the like may be used herein to describe one element or component's relationship to another element(s) or component, as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

Notwithstanding that the numerical ranges and parameters setting forth the broad scope of the disclosure are approximations, the numerical values set forth in the specific examples are reported as precisely as possible. Any numerical value, however, inherently contains certain errors necessarily resulting from the standard deviation found in their respective testing measurements. Also, as used herein, the term "about" generally means within 10%, 5%, 1%, or 0.5% of a given value or range. Alternatively, the term "about" means within an acceptable standard error of the mean, as considered by the ordinary artisan. Except in the operating/working examples, or where otherwise expressly specified, all numerical ranges, amounts, values and percentages (e.g., for amounts of materials, durations, temperatures, operating conditions, ratios of amounts, and the like disclosed herein) are to be understood as modified in all instances by the term "about". Accordingly, unless indicated to the contrary, the numerical parameters set forth in the present disclosure and attached claims are approximations that may vary as desired. At the very least, each numerical parameter should at least be construed in light of the number of reported significant digits and by applying ordinary rounding techniques. Ranges may be expressed herein as from one end point to the other end point or between the two end points. All ranges disclosed herein are inclusive of the endpoints unless otherwise specified.

In the integrated circuit industry today, hundreds of thousands of semiconductor devices are built on a single chip. Each device on the chip must be electrically isolated to ensure that it operates independently without disturbing the other device. The technology of isolating semiconductor devices has become an important aspect of modern Metal Oxide Semiconductor (MOS), particularly MOS employed by fully customized integrated circuit designs and bipolar integrated circuit technology for separating different devices or different functional regions. With the high integration of semiconductor devices, improper electrical isolation in the device will result in current leakage, and current leakage can consume large amounts of power as well as compromise functionality. Some examples of reduced functionality include latch-up, noise margin degradation, voltage offset, and cross-talk, which can temporarily or permanently damage the circuit.

Shallow Trench Isolation (STI) for semiconductor chip with high integrationElectrical isolation of the sheet. STI structures may be fabricated using a variety of methods including, for example, Buried Oxide (BOX) isolation methods for shallow trenches. The BOX method involves oxidizing Silicon (SiO) by Chemical Vapor Deposition (CVD) 2) Filling the trench followed by planarization of Chemical Vapor Deposition (CVD) silicon oxide (SiO) by a plasma etch-back process and/or a Chemical Mechanical Polishing (CMP) process 2) To produce a flat surface. Shallow trenches etched for the BOX process are anisotropically plasma etched into the substrate (e.g., silicon), and typically between about 0.3 microns and about 1.0 micron deep.

A silicide layer is typically formed on top of silicon structures in a semiconductor device, such as polysilicon gates, source/drain regions, and local interconnects, in order to reduce contact resistance when forming gate or source/drain contacts. In the process of forming the silicide layer, a dielectric layer may be used to cover portions of the silicon structure and expose predetermined areas. A metal layer is blanket deposited over the dielectric layer and the exposed areas. A thermal treatment is then performed to promote a chemical reaction in which the metal layer contacts the silicon structure to form a silicide layer. Since the dielectric layer shields a portion of the semiconductor device from the metal layer, a silicide layer will not be formed on the portion covered by the dielectric layer during the heat treatment. The unreacted portions of the metal layer are then stripped, leaving the silicide layer on the desired areas.

Fig. 1-2 illustrate cross-sectional views of a semiconductor device 10 in process. Shallow Trench Isolation (STI)101 defines a first region 102 and a second region 103 on a semiconductor substrate 104. In the first region 102, a gate oxide layer 105 separates a gate electrode 106 from a semiconductor substrate 104. Spacer liners 107 and spacers 108 are formed on sidewalls of the gate electrode 106. Source/drain regions 109 are formed in the semiconductor substrate 104 adjacent to the spacers 108. In the second region 103, passive devices, such as a resistor 110 and an insulator layer 111, are formed on the semiconductor substrate 104. A dielectric layer 112 is blanket deposited over the source/drain regions 109, spacers 108, spacer liner 107, gate electrode 106, STI 101, and resistor 110. A photoresist mask 113 is formed on the dielectric layer 112 in a manner to cover the second region 103 and expose the first region 102.

In the semiconductor device 10, only the gate electrode 106 and the source/drain region 109 need to form a silicide layer, so that it is desirable to remove the dielectric layer 112 from the first region 102 while keeping the dielectric layer 112 in the second region 103. Accordingly, the photoresist mask 113 is so defined as to shield the second region 103 and expose the first region 102. A wet etch step using an HF solution is performed to remove the exposed portions of the dielectric layer 112. The photoresist mask 113 is then stripped to leave the semiconductor structure 10, as shown in FIG. 2.

The process of etching the dielectric layer 112 of fig. 2 has a problem of damaging the STI 101. Since STI 101 is made of an oxide material, its etch rate will be very close to dielectric layer 112. In a 100:1HF solution, the etch rate of dielectric layer 112 is about 70 angstroms per minute and the etch rate of STI 101 is about 50 angstroms per minute. Thus, etching dielectric layer 112 using an HF solution may be non-selective with respect to STI 101. The pits 115 are often formed after a wet etching process. After forming a silicide layer on the source or drain regions, a silicide layer may also be formed in the pits and thus result in higher junction leakage. In addition, defects and stress at the edges of the STI 101 are important causes affecting the device flicker noise characteristics.

Fig. 3A, 4A, 5A, 6A, and 7A are diagrams illustrating fragment layout views of a semiconductor device 300 at various stages of fabrication, according to some embodiments of the present disclosure. Fig. 3B, 4B, 5B, 6B, and 7B are diagrams illustrating fragmentary cross-sectional views of a semiconductor device 300 at various stages of fabrication, according to some embodiments of the present disclosure. Each of fig. 3B, 4B, 5B, 6B, and 7B includes two cross-sectional views taken along line AA 'and line BB' of the semiconductor device 300 of each of fig. 3A, 4A, 5A, 6A, and 7A, respectively. On the left hand side of fig. 3B, 4B, 5B, 6B and 7B, a cross-sectional view 300A is taken along line AA'. On the other hand, a right-hand cross-sectional view 300B of fig. 3B, 4B, 5B, 6B and 7B is taken along line BB'.

Referring to fig. 3A, the semiconductor device 300 includes a gate structure 304, and an active region pattern 302. The gate structure 304 continuously extends over the active area pattern 302. In particular, the gate structure 304 extends over the active area pattern 302 along the axis Y. As shown in fig. 3A, the active area pattern 302 is exposed on both sides of the gate structure 304 along the axis X. In particular, the active area pattern 302 includes two portions arranged on opposite sides of the gate structure 304 and configured to form corresponding drain or source regions of a transistor. The active area pattern 302 further includes a channel region interposed between drain or source regions. Examples of transistors that include at least the gate structure 304 and the active area pattern 302 include, but are not limited to, Metal Oxide Semiconductor Field Effect Transistors (MOSFETs), Complementary Metal Oxide Semiconductor (CMOS) transistors, Bipolar Junction Transistors (BJTs), high voltage transistors, high frequency transistors, p-channel and/or n-channel field effect transistors (PFETs/NFETs), finfets, planar MOS transistors with raised source/drains, and the like. In at least one embodiment, the transistor is an n-channel metal oxide semiconductor (NMOS) transistor. In at least one embodiment, the transistor is a p-channel metal oxide semiconductor (PMOS) transistor.

An exemplary material for the gate structure 304 includes polysilicon. Other materials, such as metals, are within the scope of the embodiments. Exemplary materials for the active area pattern 302 include, but are not limited to, semiconductor materials doped with multiple types of p-dopants and/or n-dopants. In at least one embodiment, the active area pattern 302 includes the same type of dopant on both sides of the gate structure 304.

With respect to cross-sectional view 300A of fig. 3B, a gate structure 304 is formed over a top surface 307 of a semiconductor substrate 301. The semiconductor substrate 301 may be a portion of a semiconductor wafer, such as a silicon wafer. Alternatively, the semiconductor substrate 301 may comprise other semiconductor materials, such as germanium. The semiconductor substrate 301 may also include a compound semiconductor such as silicon carbide, gallium arsenide, indium phosphide, a group iii-v compound semiconductor material, or the like. The semiconductor substrate 301 may be a bulk semiconductor substrate, and an epitaxial layer may or may not be formed on the bulk substrate. Further, the semiconductor substrate 301 may be a semiconductor-on-insulator (SOI) substrate.

In some embodiments, the gate structure 304 may include a gate dielectric 32 and a gate electrode 34 overlying the gate dielectric 32. In some exemplary embodiments, the gate dielectric 32 may comprise silicon dioxide. Alternatively, the gate dielectric 32 may comprise a high-permittivity material, silicon oxynitride, other suitable materials, or combinations thereof. The high dielectric constant material may be selected from metal oxides, metal nitrides, metal silicates, transition metal oxides, transition metal nitrides, transition metal silicates, oxynitrides of metals, metal aluminates, zirconium silicates, zirconium aluminates, hafnium oxides, or combinations thereof. The gate dielectric 32 may be formed using Chemical Vapor Deposition (CVD), Atomic Layer Deposition (ALD), thermal oxide, and the like.

The gate electrode 34 may include polysilicon (polysilicon). Alternatively, the gate electrode 34 may comprise a metal or metal silicide, such as Al, Cu, W, Ni, Mo, Co, Ti, Ta, TiN, TaN, NiSi, NiPtSi, CoSi, or combinations thereof. Methods of forming the gate electrode 34 include CVD, Physical Vapor Deposition (PVD), ALD, and other suitable processes. Formation of gate dielectric 32 and gate electrode 34 may include forming a blanket dielectric layer and a blanket gate electrode layer, and then performing patterning to form gate dielectric 32 and gate electrode 34.

The gate spacers 43 may be formed by depositing a dielectric layer(s) and then patterning the dielectric layer to remove horizontal portions deposited on the top surface 307, while leaving vertical portions of the dielectric layer on the sidewalls of the gate structure 30 to form the gate spacers 43. The formation process of the active area pattern 302, i.e., the drain or source region, may include forming a photoresist (not shown), and then performing implantation to form the active area pattern 302 in the semiconductor substrate 301.

With respect to cross-sectional view 300B, an insulating region 303 is formed in the semiconductor substrate 301 to define and electrically isolate an active area pattern 302 in which transistors are formed. In other words, the insulating region 303 surrounds the active region pattern 302 from a top view. The insulating region 303 may be a Shallow Trench Isolation (STI) region or a local oxidation of silicon (LOCOS) region. STI can be formed by steps of photolithography, trench etching, and trench filling with an oxide layer. LOCOS isolation may be formed by the steps of depositing a protective nitride layer and locally oxidizing portions of the semiconductor substrate not covered by the protective nitride layer.

Referring to fig. 4A, a dielectric layer 306 is formed over the semiconductor device 300 of fig. 3A to contact at least the active area pattern 302, the gate structure 304, and the insulation region 303. The dielectric layer 306 may comprise silicon oxide or other types of dielectric materials including, but not limited to, silicon carbide, silicon nitride, silicon oxynitride (SiON), oxygen-doped silicon nitride, nitrided oxide, combinations thereof, and multilayers thereof. Referring to fig. 4B, a dielectric layer 306 is blanket deposited over the gate structure 304, the active area pattern 302, and the insulating region 303. In some embodiments, the dielectric layer 306 may be conformally deposited over the gate structure 304. In this embodiment, the step of depositing may be Low Pressure Chemical Vapor Deposition (LPCVD), Rapid Thermal Chemical Vapor Deposition (RTCVD), Plasma Enhanced Chemical Vapor Deposition (PECVD), or Atomic Layer Deposition (ALD). The suggested temperature is below 600 degrees celsius and in many instances may be between 400 and 500 degrees celsius. Suggested pressure is between 0.1 torr and 10 torr. Dielectric layer 306 is suggested to be from 10 to 1000 angstroms thick, and in many instances may be from 200 to 600 angstroms thick.

Referring to fig. 5A, a portion of the dielectric layer 306 is removed to expose a portion of the active area pattern 302, the gate structure 304, and the insulation region 303. Removal of the dielectric layer 306 may be performed by forming a photoresist mask on the dielectric layer 306 using conventional photolithography and then etching the dielectric layer 306 not covered by the photoresist mask, thereby exposing predetermined areas. The remaining dielectric layers 306_1 and 306_2 are left to prevent silicide formation. In particular, the remaining dielectric layer 306_1 remains over the region around the topmost edge 302_1 of the active area pattern 302 between the active area pattern 302 and the adjacent insulating region 303. A remaining dielectric layer 306_2 remains over the region around the bottommost edge 302_2 of the active area pattern 302 between the active area pattern 302 and the adjacent insulating region 303. In some embodiments, the remaining dielectric layer 306_1 and the remaining dielectric layer 306_2 may be rectangular in shape and cross the gate structure 304. Thus, the dielectric layers 306_1 and 306_2 overlap a portion of the gate structure 304.

In some embodiments, the remaining dielectric layer 306_1 overlaps a portion of the active area pattern 302 adjacent to the topmost edge 302_1 with an overlap width d1 along axis Y. The remaining dielectric layer 306_2 overlaps a portion of the active area pattern 302 adjacent the bottommost edge 302_2 by an overlap width d1 along axis Y. In some embodiments, the overlap width d1 may be greater than about 0.2 μm. In some embodiments, the overlap width d1 may be in a range from about 0.2 μm to about 0.25 μm. The remaining dielectric layer 306_1 extends further upward beyond the topmost edge 302_1 of the active area pattern 302 to overlap the insulating region 303 adjacent to the topmost edge 302_1 by an overlap width d2 along axis Y. The remaining dielectric layer 306_2 extends further down beyond the bottommost edge 302_2 of the active area pattern 302 to overlap the insulating region 303 adjacent to the bottommost edge 302_2 by an overlap width d2 along the axis Y. In some embodiments, the overlap width d2 may be greater than about 0.2 μm. In some embodiments, the overlap width d2 may be in a range from about 0.2 μm to about 0.25 μm. The width d3 along the axis Y of the active area pattern 302 not covered by the remaining dielectric layers 306_1 and 306_2 may be greater than about 0.5 μm.

In some embodiments, the left and right ends of each of the remaining dielectric layers 306_1 and 306_2 may be aligned with the leftmost edge 302_3 and the rightmost edge 302_4 of the active area pattern 302, respectively. However, this is not a limitation of the present disclosure. In some embodiments, the left and/or right ends of each of the remaining dielectric layers 306_1 and 306_2 may extend beyond the leftmost edge 302_3 and/or the rightmost edge 302_4 of the active area pattern 302 along the axis X, respectively. In some embodiments, the left and/or right ends of each of the remaining dielectric layers 306_1 and 306_2 may not extend along the axis X to the leftmost edge 302_3 and/or the rightmost edge 302_4 of the active area pattern 302, respectively.

Referring to fig. 5B, a portion of the dielectric layer 306 is removed to form at least one opening 305 having a width d 3. Thus, a portion of the active area pattern 302 is exposed through the opening 305. Referring to fig. 6A in conjunction with fig. 6B, a silicide region 308 is formed over a portion of the active area pattern 302 and a portion of the gate structure 304. In some embodiments, the formation of the silicide regions 308 may include a salicide (salicide) process. The silicide process includes blanket depositing a metal layer (not shown) over the semiconductor device 300 shown in fig. 5A and 5B, followed by annealing to cause a reaction between the metal layer and the underlying silicon. Thus, silicide regions 308 are formed. The metal layer may comprise nickel, cobalt, titanium, platinum, or the like. Unreacted portions of the metal layer are then removed. Due to the masking of the remaining dielectric layers 306_1 and 306_2, a resulting silicide region 308 is formed in the opening 305 between the remaining dielectric layers 306_1 and 306_ 2. Thus, the silicide regions 308 laterally abut the remaining dielectric layers 306_1 and 306_ 2. The silicide regions 308 do not extend to the topmost edge 302_1 and the bottommost edge 302_2 between the active area pattern 302 (i.e., drain or source region) and the insulating region 303 of the transistor.

Fig. 7A and 7B illustrate the formation of an insulating dielectric layer 310, such as a Contact Etch Stop Layer (CESL), and contact plugs 314. An insulating dielectric layer 310 covers and contacts the silicide regions 308 and the remaining dielectric layers 306_1 and 306_ 2. The insulating dielectric layer 310 may be formed of a dielectric material such as silicon oxide, silicon nitride, or a combination thereof. In addition, the material of the insulating dielectric layer 310 may be selected to be different from the material of the remaining dielectric layers 306_1 and 306_2, so that a high etch selectivity exists between the insulating dielectric layer 310 and the remaining dielectric layers 306_1 and 306_2 when the insulating dielectric layer 310 and the overlying interlayer dielectric (ILD) layer 312 are etched for forming contact openings.

After forming insulating dielectric layer 310, ILD layer 312 is formed. ILD layer 312 may be formed by a suitable technique, such as Chemical Vapor Deposition (CVD). For example, high density plasma CVD may be performed to form ILD layer 312. The ILD layer 312 may be formed on the semiconductor substrate 301 to a level above the top surface of the insulating dielectric layer 310 above the gate structure 304 such that the gate structure 304 is embedded therein. In various embodiments, ILD layer 312 comprises silicon oxide, a low-k dielectric material (a dielectric material having a dielectric constant less than about 3.9 (the dielectric constant of thermal silicon oxide)). In one embodiment, a Chemical Mechanical Polishing (CMP) process may be further applied to ILD layer 312 to planarize the top surface of ILD layer 312. The processing conditions and parameters of the CMP process, including slurry chemistry and polishing pressure, may be tuned to partially remove and planarize the ILD layer 312.

Contact openings are then formed in ILD 312 and insulating dielectric layer 310 by a photolithography process and an etching process comprising one or more etching steps such that silicide regions 308 are exposed through the contact openings. In forming the contact openings, ILD 312 is first etched, with insulating dielectric layer 310 acting as an etch stop. After etching stops on insulating dielectric layer 310, the exposed portions of insulating dielectric layer 310 in the contact openings are etched. The etching of the insulating dielectric layer 310 stops on the silicide regions 308. In the case where the contact openings are misaligned with the respective silicide regions 308 (as illustrated), the remaining dielectric layers 306_1 and 306_2 may be exposed in the contact openings. Accordingly, when etching the insulating dielectric layer 310, the remaining dielectric layers 306_1 and 306_2 may act as etch stop layers and may be substantially unetched, or at least a lower portion may be left after the etching of the insulating dielectric layer 310 is completed. Accordingly, the topmost edge 302_1 and the bottommost edge 302_2 between the active area pattern 302 (i.e., the drain or source region) and the insulating region 303 are protected by the remaining dielectric layers 306_1 and 306_ 2.

Next, contact plugs 314 are formed in the contact openings to contact the silicide regions 308. In some embodiments, the contact plugs 314 comprise tungsten. The formation process may include filling a conductive material, such as tungsten, into the contact openings by using Physical Vapor Deposition (PVD), plating, or a combination thereof, and then performing chemical-mechanical polishing (CMP) to remove excess portions of the conductive material from over the ILD 312. The remaining portion of the conductive material forms the contact plug 314.

In many embodiments, an interconnect structure may be further formed on ILD layer 312. The interconnect structure may include vertical interconnects (e.g., conventional vias or contacts) and horizontal interconnects (e.g., metal lines). Interconnect structures may implement a variety of conductive materials including copper, tungsten, and silicide. In one example, a copper related interconnect structure is formed using a damascene process. Although the semiconductor device 300 and the formation of the semiconductor device 300 are described, other alternatives and embodiments may exist without departing from the scope of the present disclosure. Additionally, it should be appreciated that the transistors included in the semiconductor device 300 may be of different types, including and not limited to high voltage MOSFETs, low voltage MOSFETs (e.g., logic MOSFETs), memory MOSFETs, and the like. In some embodiments, the transistor may be a p-type MOSFET. In some embodiments, the transistors may be n-type MOSFETs, with the respective doped regions having a conductivity type opposite that of the p-type MOSFETs.

Some embodiments of the present disclosure provide a semiconductor structure. The semiconductor structure includes: a substrate; an active region comprising a channel region sandwiched between two source/drain regions; an insulating region surrounding the active region from a top view; and a dielectric layer placed over and in contact with an interface between the insulating region and the source/drain region.

Some embodiments of the present disclosure provide a semiconductor structure. The semiconductor structure includes: a semiconductor substrate; a gate structure over the semiconductor substrate, the gate structure extending in a first direction; an active area pattern in the semiconductor substrate, the active area pattern extending in a second direction perpendicular to the first direction, wherein the active area pattern includes a first edge and a second edge along the second direction; an insulating region surrounding the active region pattern; a first dielectric strip over the semiconductor substrate, wherein the first dielectric strip directly covers at least an interface between the first edge of the active area pattern and the insulating region; and a second dielectric strip over the semiconductor substrate, the second dielectric strip being spaced apart from the first dielectric strip, wherein the second dielectric strip at least directly covers an interface between the second edge of the active area pattern and a second insulating region.

Some embodiments of the present disclosure provide a method of fabricating a semiconductor structure. The method comprises the following steps: receiving a semiconductor substrate; forming an insulating region in the semiconductor substrate to define an active region in the semiconductor substrate; forming a gate structure across the active region defined in the semiconductor substrate; forming a source or drain region in the active region, wherein the source or drain region abuts the insulating region; and forming a photoresist protective dielectric film over the source or drain region, the insulating region, and the gate structure, wherein the photoresist protective dielectric film overlaps an interface between the source or drain region and the insulating region and exposes a portion of the source or drain region and a portion of the gate structure.

The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Description of the symbols

10 semiconductor device

32 gate dielectric

34 gate electrode

43 Gate spacer

101 Shallow Trench Isolation (STI)

102 first region

103 second region

104 semiconductor substrate

105 gate oxide layer

106 gate electrode

107 spacer liner

108 spacer

109 source/drain regions

110 resistor

111 insulator layer

112 dielectric layer

113 photoresist mask

115 pit

300 semiconductor device

300A cross-sectional view

300B cross-sectional view

301 semiconductor substrate

302 active area pattern

302_1 topmost edge

302_2 bottommost edge

302_3 leftmost edge

302_4 rightmost edge

303 insulating region

304 gate structure

305 opening

306 dielectric layer

306_1 residual dielectric layer

306_2 residual dielectric layer

307 top surface

308 silicide region

310 insulating dielectric layer

312 interlayer dielectric (ILD) layer

314 contact plug

d1 overlap width

d2 overlap width

d3 width

23页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:具有低闪烁噪声的半导体装置和其形成方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!