Cavity spacers for nanowire transistors

文档序号:1600469 发布日期:2020-01-07 浏览:23次 中文

阅读说明:本技术 用于纳米线晶体管的空腔间隔物 (Cavity spacers for nanowire transistors ) 是由 W·许 B·古哈 L·古勒尔 S·查克拉博蒂 J·S·康 B·贝蒂 T·加尼 于 2019-05-29 设计创作,主要内容包括:一种晶体管结构包括基底和位于基底之上的主体。主体包括半导体材料并具有第一端部和第二端部。栅极结构在第一端部与第二端部之间环绕主体,其中栅极结构包括栅电极和栅电极与主体之间的栅极电介质。源极与第一端部接触,并且漏极与第二端部接触。第一间隔物材料位于栅电极的相对侧上和第一端部上方。第二间隔物材料与栅极结构相邻并位于纳米线主体的第一端部下方。第二间隔物材料位于源极和漏极的底表面下方并与源极和漏极的底表面接触。(A transistor structure includes a substrate and a body over the substrate. The body includes a semiconductor material and has a first end and a second end. A gate structure surrounds the body between the first end and the second end, wherein the gate structure includes a gate electrode and a gate dielectric between the gate electrode and the body. The source is in contact with the first end and the drain is in contact with the second end. First spacer material is on opposite sides of the gate electrode and over the first end portion. A second spacer material is adjacent to the gate structure and is located below the first end of the nanowire body. A second spacer material is located below and in contact with the bottom surfaces of the source and drain electrodes.)

1. A semiconductor structure, comprising:

a substrate;

a body located over a substrate, the body comprising a semiconductor material, the body being in the form of a nanowire, nanoribbon or nanosheet and having a first end and a second end;

a gate structure surrounding the body between the first and second ends, the gate structure comprising a gate electrode and a gate dielectric between the gate electrode and the body;

a source region laterally adjacent to and in contact with the first end;

a drain region laterally adjacent to and in contact with the second end;

a first spacer material on opposing sides of the gate structure, the first spacer material being over the first end of the body; and

a second spacer material on an opposite side of the gate structure and under the first end of the body;

wherein:

the second spacer material is compositionally different from the first spacer material, or

The second spacer material is the same as the first spacer material, the second spacer material also vertically below and in contact with a bottom surface of the source region and vertically below and in contact with a bottom surface of the drain region.

2. The semiconductor structure of claim 1, wherein the second spacer material is compositionally different from the first spacer material.

3. The semiconductor structure of claim 1, wherein the second spacer material is the same as the first spacer material, the second spacer material also being vertically below and in contact with a bottom surface of the source region and vertically below and in contact with a bottom surface of the drain region.

4. The semiconductor structure of claim 1, wherein the body is a nanoribbon.

5. The semiconductor structure of any one of claims 1-4, wherein the body is a first body of two or more bodies extending horizontally between the source region and the drain region.

6. The semiconductor structure of claim 5, wherein the two or more bodies are arranged in a spaced apart vertical stack and the first spacer material is located below a first end of one or more of the two or more nanowire bodies.

7. An integrated circuit, comprising:

a substrate;

a first transistor structure having a first source on the substrate, a first drain on the substrate, a first body of a first width extending over the substrate between the first source and the first drain, and a first gate structure surrounding the first body between a first end and a second end of the first body, wherein the first gate structure comprises a first gate electrode and a first gate dielectric between the gate electrode and the first body;

a second transistor structure having a second source on the substrate, a second drain on the substrate, a second body of a second width extending over the substrate between the second source and the second drain, and a second gate structure surrounding the second body between a first end and a second end of the second body, wherein the second gate structure comprises a second gate electrode and a second gate dielectric between the gate electrode and the second body;

a first spacer material in contact with the first gate structure over the first end of the first body and in contact with the second gate structure over the first end of the second body; and

a second spacer material underlying the first end of the first body and underlying the first end of the second body, the second spacer material adjacent the gate structure in the first and second transistor structures being collinear with the first spacer material adjacent the gate structure.

8. The integrated circuit of claim 7, wherein the second width is at least twice the first width.

9. The integrated circuit of claim 7, wherein the second width is at least five times the first width.

10. The integrated circuit of claim 7, wherein the second width is at least ten times the first width.

11. The integrated circuit of any of claims 7-10, wherein the first body is a nanowire and the second body is a nanoribbon or nanoplatelet.

12. The integrated circuit of any of claims 7-10, wherein the first spacer material is compositionally different from the second spacer material.

13. The integrated circuit of any of claims 7-10, wherein the substrate defines recesses below the sources and drains of the first and second transistor structures, the second spacer material being located in the recesses below the sources and drains of the first and second transistor structures.

14. The integrated circuit of any of claims 7-10, wherein in the first and second transistor structures, the second spacer material is vertically below and in contact with a bottom surface of the source region and vertically below and in contact with a bottom surface of the drain region.

15. The integrated circuit of any of claims 7-10, wherein the second spacer material has a first lateral thickness to a gate structure in the first transistor structure and a second lateral thickness to a gate structure in the second transistor structure, the first lateral thickness differing from the second lateral thickness by no more than 1 nm.

16. The integrated circuit of claim 15, wherein the first lateral thickness differs from the second lateral thickness by no more than 0.5 nm.

17. The integrated circuit of any of claims 7-10, wherein the first body is one of two or more bodies in a first vertical stack of bodies and the second body is one of two or more bodies in a second vertical stack of bodies.

18. An integrated circuit, comprising:

a nanowire having a first width;

a nanoribbon having a second width greater than the first width;

a gate structure surrounding the nanowire and surrounding the nanoribbon;

a source electrode in contact with a first end of the nanowire and a first end of the nanoribbon;

a drain in contact with a second end of the nanowire and a second end of the nanoribbon;

a gate spacer of a first material disposed laterally adjacent to the gate structure over the first end of the nanowire and over the first end of the nanoribbon;

a first cavity spacer of a second material disposed below the first end of the nanowire; and

a second cavity spacer of the second material disposed below the first end of the nanoribbon.

19. The integrated circuit of claim 18, wherein the first and second cavity spacers have a uniform lateral thickness.

20. The integrated circuit of claim 18 or 19, wherein the first material is compositionally different from the second material.

21. The integrated circuit of claim 20, wherein the second material is located below and in contact with a bottom surface of the source and below and in contact with a bottom surface of the drain.

22. The integrated circuit of claim 18, wherein the cavity spacer is collinear with the gate spacer over the first end of the nanowire and collinear with the gate spacer over the first end of the nanoribbon.

23. The integrated circuit of claim 18, wherein the first nanowire is one of two or more nanowires in a first vertical stack of nanowires, and the nanoribbon is one of two or more nanoribbons in a vertical stack of nanoribbons.

24. The integrated circuit of claim 18, wherein the second width is at least twice the first width.

25. The integrated circuit of claim 24, wherein the second width is at least five times the first width.

Background

Semiconductor devices are electronic components that utilize the electronic characteristics of semiconductor materials, such as silicon (Si), germanium (Ge), gallium arsenide (GaAs), and indium phosphide (InP). A Field Effect Transistor (FET) is a semiconductor device that includes three terminals: a gate, a source and a drain. FETs use the electric field applied by the gate to control the conductivity of the channel between the source and drain. FETs are referred to as n-channel devices where the charge carriers are electrons and p-channel devices where the charge carriers are holes. Some FETs have a fourth terminal, such as a substrate, which may be used to bias the transistor. Additionally, a metal oxide semiconductor fet (mosfet) includes a gate dielectric between the gate and the channel. MOSFETs may also be referred to as metal-insulator-semiconductor fets (misfets) or insulated gate fets (igfets). Complementary mos (cmos) structures use a combination of p-channel mosfet (pmos) and n-channel mosfet (nmos) devices to implement logic gates and other digital circuitry.

A FinFET is a MOSFET transistor built around a thin strip of semiconductor material, commonly referred to as a fin. The conductive channel of the FinFET device is located on an outer portion of the fin adjacent to the gate dielectric. Specifically, the current travels along/within both sidewalls of the fin (sides perpendicular to the substrate surface) and along the top of the fin (sides parallel to the substrate surface). Because the conductive channel of this configuration includes three different planar regions of the fin (e.g., top and two sides), this FinFET design is sometimes referred to as a tri-gate transistor. Nanowire transistors (sometimes referred to as Gate All Around (GAA) or nanoribbon transistors) are similar to fin-based transistors, but the channel region includes, for example, nanowires or nanoribbons instead of fin-shaped channel regions. In some such GAA transistors, the gate material typically surrounds or encircles each nanowire or nanoribbon (thus a fully-encircled gate).

Drawings

Fig. 1 illustrates a cross-sectional view of a nanowire transistor structure showing gate spacers, cavity spacers, and recesses in the base material under the source and drain, according to some embodiments of the present disclosure.

Fig. 2 illustrates a cross-sectional view of a nanowire transistor structure having a gate spacer, a cavity spacer, and spacer material in recesses under a source and a drain, according to some embodiments of the present disclosure.

Fig. 3 is a flow diagram illustrating an exemplary process in a method of fabricating a nanowire transistor or integrated circuit, according to some embodiments of the present disclosure.

Fig. 4 is a flow chart illustrating a process of completing the transistor structure shown in fig. 3 according to an embodiment of the present disclosure.

Figure 5A illustrates a perspective view of an exemplary semiconductor structure having fins of different fin widths and a dummy gate structure over the fins in accordance with an embodiment of the present disclosure.

Fig. 5B illustrates a cross-sectional view of the semiconductor structure of fig. 5A taken along line B-B, in accordance with an embodiment of the present disclosure.

Fig. 5C illustrates a cross-sectional view of the semiconductor structure of fig. 5A taken along line C-C in accordance with an embodiment of the present disclosure.

Figure 6 is a cross-sectional view of a semiconductor structure showing spacer material on the fin and gate structure, in accordance with an embodiment of the present disclosure.

Fig. 7 is a cross-sectional view of the semiconductor structure of fig. 6 after removal of the source and drain, in accordance with an embodiment of the present disclosure.

Fig. 8A illustrates a perspective view of an exemplary semiconductor structure in which a sacrificial material is recessed to define a cavity between layers of semiconductor material in a channel region, and showing a recess in a substrate, according to an embodiment of the disclosure.

Fig. 8B illustrates a cross-sectional view of the semiconductor structure of fig. 8A taken along line B-B in accordance with an embodiment of the present disclosure.

Fig. 8C illustrates a cross-sectional view of the semiconductor structure of fig. 8A taken along line C-C in accordance with an embodiment of the present disclosure.

Fig. 9 illustrates a cross-sectional view of the semiconductor structure of fig. 8C after depositing a second layer spacer material, in accordance with an embodiment of the present disclosure.

Fig. 10 illustrates a cross-sectional view of the semiconductor structure of fig. 8C after removing the first layer of spacer material and depositing a second layer of spacer material, in accordance with an embodiment of the present disclosure.

Fig. 11A illustrates a perspective view of the semiconductor structure of fig. 9 after a second layer spacer material is etched back in accordance with an embodiment of the present disclosure.

Fig. 11B illustrates a cross-sectional view of the semiconductor structure of fig. 11A taken along line B-B, in accordance with an embodiment of the present disclosure.

Fig. 11C illustrates a cross-sectional view of the semiconductor structure of fig. 11A taken along line C-C in accordance with an embodiment of the present disclosure.

Fig. 12A illustrates a perspective view of the semiconductor structure of fig. 10 after a second layer spacer material is etched back in accordance with an embodiment of the present disclosure.

Fig. 12B illustrates a cross-sectional view of the semiconductor structure of fig. 12A taken along line B-B, in accordance with an embodiment of the present disclosure.

Fig. 12C illustrates a cross-sectional view of the semiconductor structure of fig. 12A taken along line C-C, in accordance with an embodiment of the present disclosure.

Fig. 13A illustrates a perspective view of the semiconductor structure of fig. 11A after forming an alternative source and drain material in accordance with an embodiment of the present disclosure.

Fig. 13B illustrates a cross-sectional view of the semiconductor structure of fig. 13A taken along line B-B in accordance with an embodiment of the present disclosure.

Fig. 13C illustrates a cross-sectional view of the semiconductor structure of fig. 13A taken along line C-C in accordance with an embodiment of the present disclosure.

Fig. 14A illustrates a perspective view of the semiconductor structure of fig. 12A after a second layer spacer material is etched back in accordance with an embodiment of the present disclosure.

Fig. 14B illustrates a cross-sectional view of the semiconductor structure of fig. 14A taken along line B-B, in accordance with an embodiment of the present disclosure.

Fig. 14C illustrates a cross-sectional view of the semiconductor structure of fig. 14A taken along line C-C in accordance with an embodiment of the present disclosure.

Fig. 15 illustrates an example computing system implemented with integrated circuit structures and/or transistor devices formed in accordance with some embodiments of the present disclosure.

These and other features of the present embodiments will be better understood upon reading the following detailed description in conjunction with the drawings described herein. For purposes of clarity, not every component may be labeled in every drawing. Furthermore, as will be appreciated, the drawings are not necessarily drawn to scale or intended to limit the described embodiments to the particular configurations shown. For example, while some figures generally represent straight lines, right angles, and smooth surfaces, practical implementations of the disclosed techniques may have less than perfect straight lines and right angles, and some features may have surface topographies or be otherwise non-smooth, given the practical limitations of manufacturing processes. Furthermore, some features in the drawings may include patterning and/or shadow filling, which is provided merely to help visually identify the different features. In short, the drawings are provided merely to illustrate exemplary structures.

Detailed Description

Techniques are disclosed for forming channel spacers in integrated circuits having nanoribbon and/or nanowire transistor structures. This technique is particularly useful in the case of nanowires/nanoribbons with various transistor structures having different widths (i.e., channel widths or fin widths). According to some embodiments, the method of the present disclosure enables the cavity spacers to be aligned with the gate structure consistently. This feature is advantageous for nanowire transistors with different nanowire widths (Wsi) on the same chip or the same device. For example, the techniques of this disclosure may benefit Integrated Circuits (ICs) having various nanowire transistor structures, some of which are located in a first region of the IC and have a first channel width (e.g., nanowire transistors), while other of which are located in a second region of the IC, have a second channel width different from the first channel width (e.g., nanoribbon transistors).

In a method of processing a nanowire transistor structure according to one embodiment, a first spacer material is deposited over a semiconductor fin having a dummy gate, wherein the semiconductor fin includes an alternating stack of semiconductor material and sacrificial material. The source and drain regions of the fin are then removed, leaving the stack in the channel region under the dummy gate. When the source and drain regions are removed, an etched recess or notch in the substrate may result. The sacrificial material is partially recessed into the channel region to define a cavity between the ends of the semiconductor material, followed by deposition of a second spacer material that fills the cavity in the stack. The second spacer material is etched back to expose the ends of the semiconductor material in the channel region (i.e., the nanowire). Replacement source and drain materials may then be deposited. When there is an etched recess due to the removal of the source and drain, the replacement source and drain material has a bottom surface in the etched recess. In some embodiments, the second spacer material in the cavities between the nanowires is compositionally different from the first spacer material along the gate electrode. The second spacer material may also be present in etched recesses under the source/drains if not completely removed prior to forming the replacement source/drains.

In some embodiments, the processing method includes removing the first spacer material prior to depositing the second spacer material. For example, after removing the first spacer material, a relatively thick second spacer material layer (which may be the same material) is conformally deposited. In this case, the second spacer material forms cavity spacers (i.e., in the cavities between the nanowires) and channel spacers along opposite sides of the gate electrode. In some such embodiments, the spacer deposition is performed using Atomic Layer Deposition (ALD) or Chemical Vapor Deposition (CVD). The second spacer material is then anisotropically etched to expose the ends of the nanowires in the channel region. Replacement source and drain materials may then be deposited. In some such embodiments, a residual layer of spacer material remains on the substrate under the source and drain as an indication of the etch process used to remove the source and drain regions.

General overview

Field Effect Transistors (FETs) have scaled to smaller and smaller sizes to achieve faster circuit operation. This scaling has led to the development of nanowire and nanoribbon transistors or all-gate-all-around (GAA) transistors. For example, the GAA channel region may have one or more nanowires extending between source and drain regions, such as a vertical nanowire stack extending between source and drain regions. In one exemplary method, alternating layers of silicon (Si) and silicon germanium (SiGe) are formed on a bulk silicon substrate. The resulting structure is then etched to define a fin comprising a silicon subfin and alternating layers of SiGe and Si on top of the subfin. SiGe can be removed during gate processing, for example by gas phase chlorine trifluoride (ClF)3) Etching to release the silicon nanowire extending between and connecting the source and drain regions of the fin. Similar processes may be used to release nanowires of silicon germanium (SiGe), germanium (Ge), gallium arsenide (GaAs) or other semiconductor materials.

One challenge of nanowire handling arises when cavity spacers are formed between adjacent nanowires. For example, an integrated circuit includes nanowire transistor structures and nanoribbon transistor structures that are adjacent to each other on a die. The etching process used to recess the sacrificial material is typically configured for a particular channel width when devices of different channel widths are present in the same device or the same circuit. When the spacer etch is tailored for nanowires of smaller channel widths, the sacrificial material can be underetched in devices with larger channel widths. Similarly, when the etch parameters are tailored for nanoribbons with larger channel widths, the sacrificial material is over-etched between nanowires of smaller channel widths. Whether under-etched or over-etched, the spacer material deposited to fill the cavities between the nanowires is not aligned with the edges of the gate electrode. For this reason, performance of one or both devices related to current leakage or parasitic capacitance may be reduced due to poor alignment of the cavity spacers with respect to the gate electrode.

Accordingly, and in accordance with various embodiments of the present disclosure, techniques are provided for forming consistently aligned spacer materials in nanowire devices having different channel widths. The gate spacer may be formed in a two-part process that includes forming a gate spacer portion and forming a cavity spacer portion. In one such embodiment, first spacer material is deposited on opposing sides of the dummy gate. The source/drain regions are then removed. The sacrificial material between the nanowires is etched back to the edge of the polysilicon dummy gate. A second spacer material is then deposited over the resulting structure, wherein the second spacer fills the cavities between the nanowires outside the channel under the gate. The second spacer material is etched back to expose the ends of the nanowires for epitaxial deposition of the replacement source/drain material. The resulting structure may include etched grooves or recesses in the base material as a result of multiple etching processes. For example, the etched grooves are adjacent to the ends of the nanowires and extend down into the base material (e.g., silicon) on both sides of the gate. In addition, such embodiments may exhibit two compositionally different spacer materials. For example, a first spacer material (e.g., silicon nitride) is a gate spacer disposed along opposite sides of the gate electrode and over the top nanowire. The second spacer material (e.g., titanium nitride) is a cavity spacer that occupies the cavity between the ends of the nanowires outside of the channel region just below the gate electrode.

In one embodiment, the first spacer material is a sacrificial spacer material deposited over the dummy gate. After the sacrificial layer between the nanowires is etched back, the sacrificial spacer material is removed, and then a second spacer material is deposited. The second deposition forms both the gate spacers and the cavity spacers and is a relatively thick layer of spacer material. An anisotropic etch may then be performed to expose the nanowires in preparation for forming the source/drain regions. In some such embodiments, the anisotropic etch does not remove all of the spacer material from the substrate. As a result, there is residual spacer material under the source/drain material. That is, there is a layer of spacer material between the bottom of the source/drain and the substrate.

Note that the use of "source/drain" herein is intended to mean only either the source region or the drain region or both the source and drain regions. To this end, a slash ("/") as used herein indicates "and/or," unless otherwise noted, and is not intended to imply any particular structural limitation or arrangement with respect to the source and drain regions, or any other material or feature listed herein with the slash.

The use of the techniques and structures provided herein may be detected using tools such as: electron microscopes, including scanning/transmission electron microscopes (SEM/TEM), Scanning Transmission Electron Microscopes (STEM), nano-beam electron diffraction (NBD or NBED), and Reflection Electron Microscopes (REM); performing composite mapping; x-ray crystallography or diffraction (XRD); energy dispersive X-ray spectroscopy (EDX); secondary Ion Mass Spectrometry (SIMS); time-of-flight SIMS (ToF-SIMS); atom probe imaging or tomography; local Electrode Atom Probe (LEAP) technology; 3D tomography; or high resolution physical or chemical analysis, to name a few suitable exemplary analytical tools. For example, a TEM may be used to display a cross-section of a device structure. In one example, such a tool may indicate a finFET transistor structure in which spacer material is located between a bottom surface of source and/or drain material and a base material. In another example, TEM may be used to indicate a nanowire transistor structure with two compositionally different channel spacer materials, e.g., a first material that serves as a cavity spacer portion below a top nanowire or nanoribbon and a second material that serves as a gate spacer along a gate electrode above the top nanowire or nanoribbon. In some embodiments, the techniques described herein may be detected based on benefits derived from their use, including reduced parasitic capacitance channel material due to reduced overlap between metal layers and semiconductor material, reduced transistor structure variation, reduced leakage, and/or other improved device performance. Many configurations and variations will be apparent in light of this disclosure.

As used herein, the term "nanowire" is not limited to a particular cross-sectional shape of structure, but includes rectangular, square, trapezoidal, "racetrack" (e.g., parallel sides connected by rounded ends), circular, elliptical, elongated, and other cross-sectional shapes of structures. In particular, according to some embodiments, nanowires are structures having a thickness or diameter on the order of tens of nanometers or less, and an unconstrained length. The nanowires may be made of semiconductor materials, metal oxides of semiconductors, metals, or carbon nanotubes. Also, while some embodiments are discussed with reference to structures having nanowires, the present disclosure is also applicable to structures having nanoribbons and nanosheets, as well as integrated circuits containing structures having nanowires and nanoribbons/nanosheets.

It is further noted that the term "end" as used herein with respect to a nanowire or nanoribbon is not necessarily an absolute end or a terminal end of a given length. Instead, an end may simply refer to a portion of the nanowire/nanoribbon that includes a source region or a drain region. For example, where the source/drain regions are continuous with the channel region, such as when the source/drain regions are doped portions of a multilayer structure forming a nanowire, "ends" may refer to portions of the nanowire/nanoribbon that include the source/drain regions and the region under the gate spacer (if present). In other cases, such as where the source/drain regions are replacement or epitaxial source/drain regions, the ends may be portions of the nanowires/nanoribbons within the gate spacers and/or between the source/drain regions and the channel region.

As discussed herein, directional terms are used with reference to, e.g., upward, downward, vertical, horizontal, left, right, front, back, etc., to facilitate describing embodiments of integrated circuits having a base or substrate that extends in a horizontal plane. Embodiments of the present disclosure are not limited by these directional references, and it is contemplated that integrated circuit and device structures according to the present disclosure may be used in any orientation.

The use of "group IV semiconductor materials" (or "group IV materials" or generally "IV") herein includes at least one group IV element (e.g., silicon, germanium, carbon, tin), such as silicon (Si), germanium (Ge), silicon germanium (SiGe), and the like. The use of "III-V semiconductor materials" (or "III-V materials" or generally "III-V") herein includes at least one group III element (e.g., aluminum, gallium, indium) and at least one group V element (e.g., nitrogen, phosphorus, arsenic, antimony, bismuth), such as gallium arsenide (GaAs), indium gallium arsenide (InGaAs), indium aluminum arsenide (InAlAs), gallium phosphide (GaP), gallium antimonide (GaSb), indium phosphide (InP), gallium nitride (GaN), and the like. Note that, for example, group III may also be referred to as boron group or IUPAC group 13, group IV may also be referred to as carbon group or IUPAC group 14, and group V may also be referred to as nitrogen group or IUPAC group 15.

As used herein, a material that is "compositionally different" or "compositionally distinct" refers to two materials having different chemical compositions. Such compositional differences may be, for example, by virtue of elements in one material but not in another (e.g., SiGe is compositionally different from silicon), or by one material having all of the same elements as the second material but at least one of these elements being intentionally provided in a different concentration in one material relative to the other (e.g., SiGe having 70 atomic percent germanium is compositionally different from SiGe having 25 atomic percent germanium). In addition to this chemical composition difference, the materials may have different dopants (e.g., gallium and magnesium) or the same dopant, but at different concentrations. In other embodiments, compositionally different materials may also refer to two materials having different crystallographic orientations. For example, (110) silicon is compositionally exclusive or different from (100) silicon. For example, blanket wafer layer transfer (blanket wafer transfer) may be utilized to achieve the construction of differently oriented stacks.

Note that as used herein, the expression "X includes at least one of a or B" means that X may include, for example, only a, only B, or both a and B. For this reason, the inclusion of at least one of a or B by X should not be understood as requiring each of a and B by X unless explicitly so stated. For example, the expression "X includes a and B" means that X explicitly includes a and B. Furthermore, this is true for any number of objects greater than 2, where "at least one" of these objects is included in X. For example, as used herein, the expression "X includes at least one of A, B or C" means that X may include each of a alone, B alone, C alone, a and B alone (excluding C), a and C alone (excluding B), B and C alone (excluding a), or A, B and C. Even though any of A, B or C happen to include multiple types or variations. To this end, X includes at least one of A, B or C should not be understood as X requiring each of A, B and C unless expressly so stated. For example, the expression "X includes A, B and C" means that X specifically includes each of A, B and C. Likewise, the expression "X is included in at least one of a or B" means that X may be included, for example, in only a, only B, or both a and B. As will be appreciated, the discussion above regarding "X includes at least one of a or B" is equally applicable here.

Architecture and method

Fig. 1 illustrates a cross-sectional view of an exemplary semiconductor structure 100, in accordance with an embodiment of the present disclosure. The example of fig. 1 is a nanowire transistor structure that includes a fin 102 of semiconductor material in a full wrap-around gate configuration with two gate structures 150 on the fin 102. The cross-section is taken through the fin 102, parallel to the nanowire channel region 110 and perpendicular to each gate structure 150. The semiconductor structure 100 includes a channel region 110 under each gate structure 150, wherein each channel region 110 includes one or more nanowires 112 extending between source/drain regions 120 located on opposite sides of the channel region 110. The source/drain regions 120 are located on a base or substrate 115 of semiconductor material. Substrate 115 defines a recess 117 below source/drain region 120. For example, the substrate 115 material is recessed in the recess 117 as compared to the substrate 115 material under the gate structure (i.e., in the channel). Since the source/drain 120 material is formed in the recess 117, the source/drain 120 is partially recessed into the substrate. The recess 117 is created, for example, by a spacer etch process, as will be discussed in more detail below. In some embodiments, the notch 117 extends 5 to 50nm into the substrate 115. In some embodiments, the recess 117 comprises a residual layer of spacer material that is the same as the material used for the cavity spacers 157.

The gate structure 150 surrounds the nanowire 112 in a Gate All Around (GAA) configuration. In the example shown in fig. 1, two gate structures 150 are shown, each gate structure 150 having a gate dielectric 152 surrounding the body of the nanowire 112, and a gate electrode 154 on the gate dielectric 152. In one example, gate electrode 154 comprises polysilicon, metal, or other suitable material. As will be appreciated, an interlayer dielectric 130(ILD) layer is located on top of the source/drains 120 and fills the open areas between adjacent structures.

Gate spacer 155 includes gate spacer portions 156 along opposite sides of gate electrode 154. For example, a gate spacer portion 156 is located in the structure above the top nanowire 112. Gate spacer 155 also includes a cavity spacer portion 157 under end portion 112a of nanowire 112. For example, the end 112a of the nanowire 112 is located just outside of the channel region 110, and the channel region 110 is located under the gate electrode 154. The cavity spacer portion 157 adjacent to the gate electrode 154 is collinear with the gate spacer portion adjacent to the gate electrode 154. In integrated circuits having nanowires 112 and nanoribbons of different widths, cavity spacer portion 157 can be formed to extend laterally along nanowire/nanoribbon 112 such that cavity spacer portion 157 is adjacent an edge of gate electrode 154 and is collinear with the boundary between gate spacer portion 156 and gate electrode 154. Processing such an integrated circuit is discussed in more detail below with reference to method 200.

Gate spacer 155 may be formed of one or more materials, such as silicon nitride (Si)3N4) Silicon oxynitride (SiON) or silicon oxycarbide (SiOC), or low-k dielectrics. As used herein, "low-k dielectric" refers to silicon dioxide (SiO) having a dielectric constant of less than about 3.92) The dielectric constant of (2). In one embodiment, gate spacer portion 156 is compositionally different from cavity spacer portion 157. In some such embodiments, the different materials in the gate spacer portion 156 and the cavity spacer portion 157 may be identified by SIMS, TEM EDX mapping, or atom probe tomography. According to some embodiments, there is a gate spacer 155Compositionally different materials are evidence of a two-step deposition of spacer material, one for each of the two layers of spacer material deposited. In other embodiments, the material in gate spacer portion 156 and cavity spacer portion 157 is the same material, even though the two portions of gate spacer 155 may be deposited in different processes.

Fig. 2 illustrates a cross-sectional view of another semiconductor structure 100, in accordance with an embodiment of the present disclosure. Similar to the embodiment of fig. 1, the cross-section is taken through the fin 102, parallel to the nanowire channel region 110 and perpendicular to each gate structure 150. In this embodiment, the gate structure 150 has a full ring gate configuration, with a gate dielectric 152 surrounding the body of each nanowire 112 and a gate electrode 154 located on the gate dielectric 152.

In this example, gate spacer 155 includes gate spacer portions 156 along opposite sides of gate electrode 154, and cavity spacer portions 157 under ends 112a of nanowires 112. For example, the cavity spacer portion 157 is located laterally outside of the gate electrode 157 and vertically below the end portion 112a of each nanowire 112. The inner edges of cavity spacer portion 157 are aligned with the inner edges of gate spacer portion 156. That is, cavity spacer portion 157 and gate spacer portion 156 are vertically aligned along gate electrode 154. The inner edges of cavity spacer portions 157 extend laterally to the edges of the gate structure. In some such embodiments, the cavity spacer portions 157 provide a uniform width of the gate electrode 154 above and below each nanowire 112. For example, the cavity spacer portion extends laterally along the nanowire 112 to within a predetermined distance of the vertical boundary between the gate spacer portion 156 and the gate electrode 154 above the top nanowire 112. In some embodiments, the predetermined distance is no greater than 2nm, no greater than 1nm, or no greater than 0.5 nm. Thus, channel spacer portion 156 and gate spacer portion 157 are more uniformly aligned, particularly when a single die or chip has transistor structures with different channel widths.

In this example embodiment, gate spacer portion 156 and cavity spacer portion 157 are the same material. The material of gate spacers 155 is also present as a residual layer 158 under source/drains 120 in each trench 117. The residual layer 158 of spacer material may result, for example, from processing the gate spacers 155, as discussed in more detail below. In one example, a spacer material is conformally deposited over semiconductor structure 100 and then etched back to define cavity spacer portions 157 and to expose the ends of nanowires 112 prior to epitaxial formation of source/drain regions 120. During the process of etching back the spacer to the desired location, not all of the spacer material may be removed from the recess 117. Thus, when depositing the replacement material for the source/drains 120, a residual layer 158 of spacer material is present in the bottom of the recesses 117. Details of various embodiments of processing the semiconductor structure 100 are discussed in more detail below.

Turning now to fig. 3-4, a flow diagram illustrates a process in a method 200 of processing a nanowire structure according to some embodiments. Fig. 3 illustrates an exemplary process of the method 200, and fig. 4 illustrates an example of the processes involved in completing 220 the nanowire transistor structure. The method 200 will be discussed with reference to the exemplary structures shown in fig. 5-14.

The method 200 of figure 3 begins by providing 202 a semiconductor fin having a stack of channel material and sacrificial material, and having a dummy gate structure on the fin. Fig. 5A-5C show views of an example of such a structure. Figure 5A illustrates a perspective view, figure 5B illustrates a cross-sectional view through the gate structure along line B-B of figure 5A, and figure 5C illustrates a cross-sectional view through the fin along line C-C of figure 5A. In this example, the semiconductor structure 100 includes two fins 102 having different channel widths (W) or lateral thicknesses in the X-direction. Each fin 102 has a stack 104, the stack 104 defining the fin 102 extending vertically upward from a substrate or base 115. The stack 104 comprises alternating layers of channel material 111 and sacrificial material 114, starting with the sacrificial material 114 on the substrate 115. Although the top layer is shown in fig. 5A-5C as channel material 111, some embodiments may include sacrificial material 114, oxide (e.g., SiO)2) Nitride (e.g., Si)3N4) Or other material layers that protect the top channel material 113 during various processes. However, it is not limited toIn this example, the exposed portion of fin 102 outside of the gate structure is intended to be removed and replaced with a replacement source/drain material, as will be discussed in more detail below. Accordingly, the absence of the top protective layer may be beneficial to facilitate removal of these portions of the fin 102. Many variations and embodiments will be apparent in light of this disclosure.

Fins 102 with different channel widths (Wsi) may benefit from the methods of the present disclosure by facilitating consistent alignment of the cavity spacers along the channel. In some embodiments, the one or more first fins 102 have a first channel width and the one or more second fins 102 have a second channel width. In one embodiment, the first channel width and the second channel width may be 5nm to 100 nm. The first channel width may be 1.5 times, 2 times, 3 times, 4 times, 5 times, 10 times, 20 times, or some other multiple of the second channel width (or vice versa). Similarly, the first channel width may differ from the second channel width by 2-10nm, 10-20nm, 20-50nm, or 50-100 nm. In one example, the first portion of the integrated circuit includes fins 102 having a first channel width of 5-20nm and fins 102 having a second channel width of 25-50 nm.

For example, in some embodiments, as for CMOS applications, the channel material 113 may be different in the fin 102 formed on different regions of the substrate. For example, a first layer of channel material 113 may be formed on a first region of the silicon substrate 115 for one or more p-channel transistor devices (e.g., one or more PMOS devices), and a second layer of channel material 113 may be formed on a second region of the silicon substrate 115 for one or more n-channel transistor devices (e.g., one or more NMOS devices). According to some embodiments, different channel materials 113 may incorporate different channel widths. For example, the first plurality of fins 102 has a first channel material 113 and a first channel width; the second plurality of fins 102 have a second channel material 113 that is compositionally different from the first channel material 113, and a second channel width that is different from the first channel width.

Dummy gate structures 130 on the substrate 115 extend over each fin 102 in a direction perpendicular to the fins 102. As shown in fig. 5A-5C, the dummy gate structure 130 has a tri-gate configuration and contacts opposing sides and a top surface of the fin 102. In one example, the dummy gate structure 130 includes a hard mask 160 on a top surface of the dummy gate. The hard mask 160 is selected to withstand and protect the dummy gate material (e.g., polysilicon) from the etch process that removes the exposed areas of the stack 104.

In some embodiments, the substrate 115 is or includes a group IV semiconductor material, such as monocrystalline silicon or germanium. In other embodiments, the substrate 115 is or includes a group III-V semiconductor material, such as GaAs, InGaAs, AlGaAs, or AlAs, to name a few. In some embodiments, the substrate 115 may or may not be doped with an appropriate dopant (e.g., boron, phosphorous, and/or arsenic). In embodiments in which the substrate 116 is doped, it may be n-type doped (e.g., with phosphorus or arsenic) or p-type doped (e.g., with boron), for example, with a dopant concentration in the range of 1E16 to 1E22 atoms per cubic centimeter. In some embodiments, the subfin may have a multilayer structure, including two or more different layers (which may or may not be different in composition). In some embodiments, the sub-fin may include a gradual (e.g., increase and/or decrease) in one or more material concentrations throughout at least a portion of the sub-fin material.

In some embodiments, the base 110 may comprise a Si-on-insulator (soi) structure, where an insulator/dielectric material (e.g., an oxide material such as silicon dioxide) is sandwiched between two Si layers (e.g., in a Buried Oxide (BOX) structure), or any other suitable starting substrate whose top layer comprises Si. For example, in some embodiments, the substrate may be doped with any suitable n-type and/or p-type dopant at a dopant concentration in a range of 1E16 to 1E22 atoms per cubic centimeter. For example, a silicon substrate may be p-type doped with a suitable acceptor (e.g., boron) or n-type doped with a suitable donor (e.g., phosphorus, arsenic) at a doping concentration of at least 1E16 atoms per cubic centimeter. However, for example, in some embodiments, the substrate may be undoped/intrinsic or relatively minimally doped (e.g., including a dopant concentration of less than 1E16 atoms per cubic centimeter). In some embodiments, the base is a silicon substrate consisting essentially of Si. In other embodiments, the substrate may comprise primarily Si, but may also comprise other materials (e.g., a given concentration of dopant). Also, note that the base material may comprise relatively high quality or device quality single crystal silicon or other materials that provide a suitable template or seed surface from which other single crystal semiconductor material features and layers may be formed. Thus, unless otherwise expressly stated, the substrates described herein are not intended to be limited to substrates comprising only Si.

In some embodiments, the substrate may have a crystallographic orientation described by a miller index (100), (110), or (111), or an equivalent thereof, as will be apparent in light of this disclosure. Although the substrate in this exemplary embodiment is shown to have a thickness (dimension in the Y-axis direction) similar to the other layers in the figures for ease of illustration, the substrate may be relatively much thicker than the other layers, such as having a thickness in the range of 1 to 950 microns (or in a sub-range of 20 to 800 microns), or any other suitable thickness or range of thicknesses that will be apparent in light of this disclosure, for example. In some embodiments, the substrate may comprise a multilayer structure, comprising two or more different layers that may or may not be different in composition. In some embodiments, the substrate may include a gradual change (e.g., increase and/or decrease) in the concentration of one or more materials throughout at least a portion of the materials. In some embodiments, the substrate may be used for one or more other IC devices, such as various diodes (e.g., Light Emitting Diodes (LEDs) or laser diodes), various transistors (e.g., MOSFETs or TFETs), various capacitors (e.g., MOSCAPs), various microelectromechanical systems (MEMS), various nanoelectromechanical systems (NEMS), various Radio Frequency (RF) devices, various sensors, or any other suitable semiconductor or IC device, depending on the end use or target application. Thus, in some embodiments, the structures described herein may be included in a system on a chip (SoC) application, as will be apparent in light of this disclosure.

The stack 104 may be formed directly on the substrate 115, starting with a layer of sacrificial material 120, followed by a layer of channel material 113, and then an optional additional layer pair of sacrificial material 120 and channel material 113. Optionally, a top layer sacrificial material 120 is located on top of the top layer channel material 113. For example, the first (bottom) layer on the substrate 115 is a sacrificial material and the final (top) layer is also a sacrificial material, thereby providing a layer of channel material 113 between the layers of sacrificial material 120. In one exemplary embodiment, the substrate is bulk single crystal silicon (Si), the sacrificial material 120 is silicon germanium (SiGe), and the channel material 113 is silicon doped with an appropriate dopant and concentration. In another example, the substrate 150 is graphene, the sacrificial material 120 is gallium, and the channel material 113 is gallium arsenide (GaAs). As will be appreciated, other material combinations may also be used. For example, in an exemplary embodiment, a given channel layer may include alternating layers of group IV and III-V semiconductor materials, where the group IV or III-V materials are sacrificial to enable formation of one or more nanowires. For example, in some embodiments, a given channel material layer may include a vertical channel height (dimension in the Y-axis direction) in the range of 5nm to 50nm (or in a sub-range of 5-45, 5-40, 5-35, 5-30, 5-25, 5-20, 5-15, 5-10, 10-40, 10-30, 10-20, 15-40, 15-30, 15-20, 20-40, 20-30, and 30-40 nm) and/or a maximum vertical thickness of at most 50, 40, 30, 25, 20, 15, or 10 nm. Other suitable materials and channel height requirements or thresholds will be apparent in light of this disclosure.

Any suitable processing may be used to form stack layer 104, such as one or more deposition or epitaxial growth processes of a blanket layer, followed by patterning and etching to form the blanket layer into fins, as will be apparent in light of this disclosure. In one embodiment, alternating layers of sacrificial material 120 and channel material 113 may be formed on the substrate 115 as blanket layers using layer-by-layer epitaxial growth. For example, each fin may have a vertical fin height (dimension in the Y-axis direction) in the range of 10-500nm (or in a sub-range of 10-50, 20-100, 20-200, 20-300, 20-400, 50-100, 50-200, 50-300, 50-400, 50-500, 100-250, 100-400, 100-500, 200-400, or 200-500 nm), and/or a maximum vertical fin height of at most 500, 450, 400, 350, 300, 250, 200, 150, 100, or 50 nm. For example, in some embodiments, each fin may include a horizontal fin width (dimension in the X-axis direction) in the range of 2-50nm (or in a sub-range of 2-5, 2-10, 5-20, 5-30, 5-50, 10-20, 10-30, 10-50, 20-30, 20-50, or 30-50 nm) and/or a maximum horizontal fin width of at most 50, 30, 20, 10, or 5 nm. In some embodiments, the ratio of fin height to fin width may be greater than 1, e.g., greater than 1.5, 2, 2.5, 3, 3.5, 4, 4.5, 5, 6, 7, 8, 9, 10, 15, 20, or greater than any other suitable threshold ratio, as will be apparent in light of this disclosure. Other suitable materials and thickness values/ranges/thresholds will be apparent in light of this disclosure.

The method 200 of fig. 3 optionally continues with implanting 204 the source/drain regions with a neutral dopant or implant. Such a process is useful for converting a crystalline material into an amorphous material by breaking bonds in the lattice structure of the material. For example, when the sacrificial material layer is deposited single crystal silicon germanium (SiGe), molecules of silicon, germanium, or argon may be implanted into the exposed source/drain regions 120. Species already present in the composition, or other neutral dopant molecules, may be used in the implantation 204 process. This implantation 204 process results in amorphous material in the source/drain regions 120 that etches much faster than a single crystalline form of the same material that is present in the channel region 110. The enhanced etch rate of the amorphous material facilitates removal of the source/drain material with minimal impact on the sacrificial material 120 under the dummy gate structure 150. Accordingly, in nanowire devices having different channel widths, the sacrificial material 120 (e.g., SiGe) in the channel region 110 may be more uniformly recessed to the edge of the dummy gate (e.g., polysilicon). In the case where the sacrificial material 120 is over-etched in subsequent processing, the degree of over-etching (into the channel region 110) is reduced. In some embodiments, implanting 204 source/drain regions 102 does not require separate deposition of gate spacer portions 156 and cavity spacer portions 157 of gate spacers 155. For example, the sacrificial material 120 is sufficiently aligned with the ends of the channel material 113 in the channel region 110 (i.e., under the dummy gate) due to the increased etch rate of the implanted material. Thus, implanting 104 the source/drain regions 120 may enable the method 200 to omit a multi-step gate spacer process, but rather form the gate spacers in a single process. As such, in some embodiments, the method 200 proceeds to form 206 gate spacers, remove 208 source/drain regions, and then jump to epitaxially form 219 the final source/drain material. In embodiments utilizing the method of implantation 204, the substrate 115 may contain residual implants under the final source/drain regions 120.

In embodiments of the method 200 that do not utilize the implant 204, or embodiments that utilize the implant 204 as an additional process, the method 200 of fig. 3 continues 206 by forming 206 a layer of spacer material over the structure of fig. 5A-5C. For example, a layer of spacer material is deposited on the dummy gate stack, on the stack and on the exposed substrate 115. Fig. 6 is a cross-sectional view taken along line C-C of fig. 5A and illustrates the semiconductor structure 100 of fig. 5C with the addition of material of gate spacer portion 157. Fig. 6 illustrates an implant region 170 in the substrate 115 as may exist when performing some embodiments of the implant 204 process.

The method 200 of figure 3 continues by removing 208 the source/drain regions of the fin 102. The stack 104 in the S/D region is etched to the substrate 115 using an anisotropic etch, for example. Fig. 7 is a cross-sectional view taken along line C-C of fig. 5A, showing stack 104 under gate structure 150 after removal 208 of source/drain region 120. The channel region 110 of the fin 102 remains intact under the dummy gate structure 150, the dummy gate structure 150 including a dummy gate electrode 154 having a hard mask 160 on a top surface thereof, and gate spacer portions 156 of gate spacers along opposing faces of the dummy gate electrode 154. Sacrificial material 114 is shown aligned with opposite ends of channel material 113, which may be the case where source/drain regions are implanted to cause rapid etch removal of the material. When the source/drain is removed 208 using an anisotropic etch, the sacrificial material 114 in the channel region is minimally affected by the etching process. However, in some embodiments, the etching process to remove 208 the source/drain regions 120 may recess the sacrificial material 114 slightly into the channel region 110. To completely remove the material of the source/drain regions 120, trenches 117 are typically created in the substrate 115, unless the etch process can be controlled to stop after the sacrificial material 114 is removed. The trench 117 is typically created in the absence of the substrate 115 material for which the etching process used to remove the source/drain regions 120 does not substantially contribute. In embodiments where source/drain regions are implanted, the implanted regions 170 may remain under and/or to the sides of the trenches 117.

The method 200 of figure 3 continues with recessing 210 the sacrificial material 114 in the channel region 110. In one embodiment, the sacrificial material 114 is laterally recessed 210 to about the vertical boundary between the dummy gate electrode 154 and the gate spacer portion 156 of the gate spacer. Fig. 8A-8C show a perspective view, a cross-sectional view taken along line B-B in fig. 8A, and a cross-sectional view taken along line C-C in fig. 8A, respectively. As portions of fin 102 corresponding to source/drain regions 120 are removed 208 in a previous process, trenches 117 are formed in substrate 115. Sacrificial material 114 remains under each layer of channel material 113 in channel region 110 under dummy gate electrode 156, but has been undercut to define a cavity 118 between the ends of channel material 113, such as shown in fig. 8C. These cavities 118 may be filled with a spacer material as part of the gate spacers in subsequent processing.

Having recessed the sacrificial material 114, the semiconductor structure 100 is ready for deposition of cavity spacer material. In one embodiment, the material of gate spacer portion 156 is first removed, and then a relatively thick layer of spacer material is deposited that will be used for both gate spacer portion 156 and cavity spacer portion 157. In another embodiment, a layer of cavity spacer material is deposited over a structure such as shown in fig. 8A-8C, wherein the cavity spacer material fills the cavity 118 between the ends of the channel material 113 under the dummy gate structure 150. Each of these embodiments will be discussed in more detail below.

Referring to the left option of the flow chart in fig. 3, one embodiment of the method 200 continues by conformally depositing 212 a second layer spacer material on the exposed surfaces. Spacer material is deposited on the sides and top of the gate structure, on the top surface of the substrate, and fills the cavities between the channel material under the gate. Fig. 9 illustrates a cross-sectional view of an exemplary structure that includes a second layer spacer material deposited over the structure shown in fig. 8C. The second spacer material 122 layer is located on all exposed surfaces of the structure 100, including the top and sides of the dummy gate structure 150 and the top surface of the substrate 115. A second layer of spacer material 122 fills the cavity 118 between the ends of the channel material 113 in the channel region 110. A second spacer material 122 is also located on the first spacer material 121 along the sides of the dummy gate structure 150. In some embodiments, the second spacer material 122 is compositionally different from the first spacer material. In other embodiments, the second spacer material 122 is the same as the first spacer material 121.

Referring to the right-hand option of the flowchart in fig. 3, another embodiment of the method 200 continues with removing 213 the first spacer material 121 followed by depositing the second spacer material 122. The removal 213 of the first spacer material 121 may be performed using any suitable wet or dry etching process, including an isotropic wet or dry etching process. Second spacer material 122 may be conformally deposited 215 in a relatively thick layer to the exposed surfaces to form both gate spacer portions 156 and cavity spacer portions 157 of gate spacers 155. Second cavity material 122 is located on the top and sides of dummy gate structure 150, on the top of substrate 115 (included in trench 117), and in cavity 118 between the ends of channel material 113.

After depositing the second spacer material 122, whether over the first spacer material 121 or after removing the first spacer material 121, the second spacer material 122 is etched 216 to expose the ends of the channel material 113. For example, an anisotropic etch process is used to etch 216 down through the second spacer material 122. Fig. 11A shows a perspective view of one example of the resulting semiconductor structure 100. Fig. 11B is a sectional view taken along line B-B of fig. 11A, and fig. 11C is a sectional view taken along line C-C of fig. 11A. In this example, the dummy gate structure 150 includes a gate spacer portion 156 of the first spacer material 121, and a cavity spacer portion 157 of the second spacer material 122. The ends of channel material 113 are exposed at the side surfaces of gate spacers 155. The second spacer material 122 has been removed from the trench 117 in the substrate 115, although this is not always the case. When following the process 212 using the first spacer material 121 and the second spacer material 122, the second spacer material 122 may be deposited in a thinner layer, thus increasing the likelihood of completely removing the second spacer material 122 from the trench 117 when etching 216 the second spacer material 122.

Fig. 12A-12C illustrate another example of a resulting semiconductor structure 100 after etching 216 the second spacer material 122. Fig. 12A is a perspective view. Fig. 12B is a sectional view taken along line B-B of fig. 12A, and fig. 12C is a sectional view taken along line C-C of fig. 12A. In this example, the dummy gate structure 150 includes a gate spacer portion 156 and a cavity spacer portion 157, both formed from the second spacer material 122. The ends of channel material 113 are exposed at the surface of gate spacers 155. The residual layer 158 of the second spacer material 122 remains in the trench 117 in the substrate 115. When the process 213 of removing the first spacer material 121 and then depositing the second spacer material 122 is followed 215, the second spacer material 122 is typically deposited in a thicker layer so as to fill the cavity 118, thus increasing the likelihood that the second spacer material 122 is not completely removed from the trench 117 when etching 216 the second spacer material 122.

The method 200 continues with forming 218 an alternative source/drain structure. For example, forming 218 the replacement source and drain materials may be performed using any suitable technique, such as Chemical Vapor Deposition (CVD), Physical Vapor Deposition (PVD), Atomic Layer Deposition (ALD), Vapor Phase Epitaxy (VPE), Molecular Beam Epitaxy (MBE), or Liquid Phase Epitaxy (LPE). In some embodiments, the source and drain regions may be formed one polarity at a time, e.g., processing one of the n-type and p-type regions, and then processing the other of the n-type and p-type regions. In some embodiments, the source and drain regions may comprise any suitable doping scheme, for example comprising suitable n-type and/or p-type dopants (e.g., at a concentration in the range of 1E16 to 1E22 atoms per cubic centimeter). However, for example, in some embodiments, at least one source or drain region may be undoped/intrinsic or relatively minimally doped, e.g., including a dopant concentration of less than 1E16 atoms per cubic centimeter.

The method 200 of fig. 3 continues with completing 220 the transistor structure. Fig. 4 illustrates an exemplary process flow for completing 220 the transistor structure in some embodiments, including removing 222 the dummy gate structure, removing 224 the sacrificial material between the nanowires, replacing the gate process 226, source/drain contact process 228, and completing 230 the integrated circuit.

In one embodiment, completing 220 the transistor may begin by removing 222 the dummy gate electrode between the gate spacers to expose a channel region of the fin. The hard mask is removed, for example, using an etch process suitable for the hard mask material. The dummy gate electrode (e.g., polysilicon) may then be removed using a wet etch process (e.g., nitric/hydrofluoric acid), an anisotropic dry etch, or other suitable etch process, as will be appreciated. At this stage of the process, the stack of channel material and sacrificial material is exposed in the channel region, and the ends of the stack are protected by the spacer material. The sacrificial material in the stack may then be removed by an etching process to release the nanowires of channel material extending between and contacting the source and drain regions.

After releasing the nanowires, method 200 continues with processing 226 the final gate stack, according to some embodiments. In one example, a final gate stack is formed using a gate last fabrication flow, which may be considered a replacement gate or Replacement Metal Gate (RMG) process. In embodiments utilizing a nanowire channel structure, the gate stack substantially (or completely) surrounds each nanowire body portion in the channel region. For example, the gate stack surrounds at least 80%, 85%, 90%, 95%, or more of each nanowire body between the gate spacers. Processing 226 the final gate stack includes depositing a gate dielectric on the exposed nanowire body in the channel region, followed by forming a gate electrode on the gate dielectric. Any suitable technique may be used including, for example, spin-on coating or CVD deposition. For example, the gate dielectric may include any suitable oxide (e.g., silicon dioxide), high-k dielectric material, and/or any other suitable material, as will be apparent in light of this disclosure. Examples of high-k dielectric materials include hafnium oxide, hafnium silicon oxide, lanthanum aluminum oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate, to provide some examples. In some embodiments, when a high-k dielectric material is used, the gate dielectric may be annealed to improve its quality. For example, the gate electrode may comprise a variety of materials, such as polysilicon or various suitable metals or metal alloys, such as aluminum (Al), tungsten (W), titanium (Ti), tantalum (Ta), copper (Cu), titanium nitride (TiN), or tantalum nitride (TaN).

For example, in some embodiments, the gate dielectric and/or gate electrode comprises a multilayer structure of two or more material layers. For example, in some embodiments, a multi-layer gate dielectric may be employed to provide a more gradual electrical transition from the channel region to the gate electrode. In some embodiments, the gate dielectric and/or the gate electrode may include a graded (e.g., increasing and/or decreasing) content or concentration of one or more materials in at least a portion of the feature. In some embodiments, one or more additional layers may also be present in the final gate stack, such as one or more relatively high or low work function layers and/or other suitable layers. Many different gate stack configurations will be apparent in view of this disclosure.

Figures 13A-13C illustrate the exemplary semiconductor structure 100 after processing 226 the final gate stack. Fig. 13A is a perspective view, fig. 13B is a sectional view taken along line B-B of fig. 13A, and fig. 13C is a sectional view taken along line C-C of fig. 13A. Source/drain regions 120 contact the ends of nanowires 112 extending from channel region 110 and occupy recesses 117 in substrate 115. In this example, the source/drain 120 material contacts the substrate 115 without an intervening residual layer of spacer material; however, in other embodiments, the recess 117 may comprise a residual layer of spacer material, as will be discussed below with reference to fig. 14A-14C. A gate dielectric 152 and a gate electrode 154 of the gate structure 150 surround each nanowire 112, with the gate dielectric 152 located between the gate electrode 154 and the nanowire 112. The exemplary structure of fig. 13A-13C is shown without an interlayer dielectric (ILD) layer located on the substrate and extending up to the top of the gate structure 150. Such layers may be present for subsequent processing (e.g., forming source and drain contacts), as will be appreciated.

Figures 14A-14C illustrate another exemplary semiconductor structure 100 after processing 226 a final gate stack. Fig. 14A is a perspective view, fig. 14B is a sectional view taken along line B-B of fig. 14A, and fig. 14C is a sectional view taken along line C-C of fig. 14A. Source/drain regions 120 contact the ends of nanowires 112 extending from channel region 110 and occupy recesses 117 in substrate 115. In this example, the source/drain 120 material contacts the remaining layer 158 of spacer material in the recess 117. In this example, the spacer material in recess 117 is the same as the spacer material found in cavity spacer portion 157 between the ends of nanowires 112, and the same as the spacer material found in gate spacer portion 156. A gate dielectric 152 and a gate electrode 154 of the gate structure 150 surround each nanowire 112, with the gate dielectric 152 located between the gate electrode 154 and the nanowire 112. The exemplary structure of fig. 14A-14C is shown without an interlayer dielectric (ILD) layer located on the substrate and extending up to the top of the gate structure 150. Such layers may be present for subsequent processing (e.g., forming source and drain contacts), as will be appreciated.

The method 200 continues with forming 228 source/drain contacts. In some embodiments, the source and drain contacts may be formed 228 using any suitable technique, such as forming contact vias in the ILD layer over the respective source/drain regions, and then depositing a metal or metal alloy (or other suitable conductive material) in the vias. For example, in some embodiments, forming 228 the source/drain contacts may include silicidation, germanization, III-V, and/or annealing processes. For example, in some embodiments, the source and drain contacts may comprise aluminum or tungsten, although any suitable conductive metal or alloy may be used, such as silver, nickel-platinum, or nickel-aluminum. For example, in some embodiments, one or more of the source and drain contacts may include a reduced resistance metal and a contact plug metal, or only a contact plug metal. Examples of contact resistance reducing metals include nickel, aluminum, titanium, gold-germanium, nickel-platinum, nickel-aluminum, and/or other such resistance reducing metals or alloys. Examples of contact plug metals include aluminum, copper, nickel, platinum, titanium or tungsten, or alloys thereof, although any suitable conductive contact metal or alloy may be used. In some embodiments, additional layers may be present in the source and drain contact regions, such as adhesion layers (e.g., titanium nitride) and/or liners or barrier layers (e.g., tantalum nitride), if desired. For example, in some embodiments, a contact resistance reducing layer may be present between a given source or drain region and its respective source or drain contact, such as an intervening layer of semiconductor material that is relatively highly doped (e.g., a dopant concentration greater than 1E18, 1E19, 1E20, 1E21, or 1E22 atoms per cubic centimeter). For example, in some such embodiments, the contact resistance reduction layer may include a semiconductor material and/or impurity dopants based on the material and/or dopant concentration included by the respective source or drain region.

According to some embodiments, method 200 continues with completing 230 a general purpose Integrated Circuit (IC) as needed. Such additional processing to complete the IC may include back-end-of-line or back-end-of-line (BEOL) processing to form one or more metallization layers and/or interconnect formed transistor devices, for example.

The method 200 may include any other suitable processing, as will be apparent in light of this disclosure. Note that for ease of illustration, the processes in method 200 are shown and described in a particular order. However, according to some embodiments, one or more of the processes may be performed in a different order or may not be performed at all (and thus are optional). Many variations of the method 200 and techniques described herein will be apparent in light of this disclosure.

A variety of different transistor devices may benefit from the techniques described herein, including, but not limited to, various Field Effect Transistors (FETs) having a Gate All Around (GAA) configuration, such as Metal Oxide Semiconductor FETs (MOSFETs), tunnel FETs (tfets), and fermi filter FETs (fffets) (also known as tunnel source MOSFETs), to name a few. For example, according to some embodiments, the techniques may be used to benefit n-channel mosfet (nmos) devices, which may include n-p-n or n-i-n source-channel-drain schemes, where "n" represents n-type doped semiconductor material, "p" represents p-type doped semiconductor material, and "i" represents intrinsic/undoped semiconductor material (e.g., which may also include nominally undoped semiconductor material, including dopant concentrations of less than 1E16 atoms per cubic centimeter (cm)). In another example, the techniques may be used to benefit p-channel mosfet (pmos) devices, which may include source-channel-drain schemes of p-n-p or p-i-p, according to some embodiments. In yet another example, the techniques may be used to benefit TFET devices, which may include source-channel-drain schemes of p-i-n or n-i-p, according to some embodiments. In yet another example, the techniques may be used to benefit FFFET devices, which may include a source-channel-drain scheme of np-i-p (or np-n-p) or pn-i-n (or pn-p-n), according to some embodiments.

In some embodiments, the techniques described herein may be used to benefit n-channel devices (e.g., NMOS) and/or p-channel devices (e.g., PMOS). Moreover, in some embodiments, the techniques described herein may be used to benefit MOSFET devices, nanowire finFET devices, and/or any other suitable devices, as will be apparent in light of this disclosure. Furthermore, in some embodiments, the techniques described herein may be used to form complementary transistor circuits (e.g., CMOS circuits), where the techniques may be used to benefit one or more of the included n-channel and p-channel transistors that make up the CMOS circuits. Further, in some embodiments, the techniques described herein may be used to benefit from a variety of transistor configurations, such as planar and non-planar configurations, where a non-planar configuration may include a Gate All Around (GAA) configuration (e.g., a nanowire or nanoribbon), or some combination thereof (e.g., a fin configuration), to provide several examples. Further, in some embodiments, the techniques may be used for various source/drain (S/D) configurations, such as alternative material S/D, clad S/D, and/or any other suitable S/D configuration, as will be apparent in light of this disclosure. The techniques described herein may be used to benefit logic transistor devices or transistor-based devices used for other suitable applications (e.g., amplification, switching, etc.). Thus, the techniques described herein may be used to benefit a variety of transistor devices. In general, the techniques allow transistors to be further scaled with different channel materials while ensuring higher operating voltages, higher drive currents, and thus improved performance.

Exemplary System

Fig. 15 illustrates a computing system 1000 implemented with integrated circuit structures and/or transistor devices formed using the techniques disclosed herein, in accordance with some embodiments of the present disclosure. As can be seen, the computing system 1000 houses a motherboard 1002. The motherboard 1002 may include a number of components, including but not limited to a processor 1004 and at least one communication chip 1006, each of which may be physically and electrically coupled to the motherboard 1002, or otherwise integrated therein. As will be appreciated, the motherboard 1002 may be, for example, any printed circuit board, whether a motherboard, a daughter board mounted on a motherboard, or the only board of the system 1000, etc.

Depending on its application, computing system 1000 may include one or more other components that may or may not be physically and electrically coupled to motherboard 1002. These other components may include, but are not limited to, volatile memory (e.g., DRAM), non-volatile memory (e.g., ROM), a graphics processor, a digital signal processor, a cryptographic processor, a chipset, an antenna, a display, a touchscreen controller, a battery, an audio codec, a video codec, a power amplifier, a Global Positioning System (GPS) device, a compass, an accelerometer, a gyroscope, a speaker, a camera, and a mass storage device (e.g., hard disk drive, Compact Disc (CD), Digital Versatile Disc (DVD), etc.). Any components included in the computing system 1000 may include one or more integrated circuit structures or devices formed using the disclosed techniques in accordance with example embodiments. In some embodiments, the functions may be integrated into one or more chips (e.g., note that the communication chip 1006 may be part of the processor 1004 or otherwise integrated into the processor 1004).

The communication chip 1006 enables wireless communication for data transfer to and from the computing system 1000. The term "wireless" and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a non-solid medium. The term does not imply that the associated devices do not contain any wires, although in some embodiments they may not. The communication chip 1006 may implement any of a number of wireless standards or protocols, including but not limited to Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE802.20, Long Term Evolution (LTE), Ev-DO, HSPA +, HSDPA +, HSUPA +, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivatives thereof, and any other wireless protocol designated as 3G, 4G, 5G, and higher generation. The computing system 1000 may include a plurality of communication chips 1006. For example, the first communication chip 1006 may be dedicated for short-range wireless communications, such as Wi-Fi and Bluetooth, while the second communication chip 1006 may be dedicated for long-range wireless communications, such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, and the like.

The processor 1004 of the computing system 1000 includes an integrated circuit die packaged within the processor 1004. In some embodiments, the integrated circuit die of the processor includes on-board circuitry that is implemented using one or more integrated circuit structures or devices formed using the disclosed techniques, as variously described herein. The term "processor" may refer to any device or portion of a device that processes electronic data, e.g., from registers and/or memory, to transform that electronic data into other electronic data that may be stored in registers and/or memory.

The communication chip 1006 may also include an integrated circuit die packaged within the communication chip 1006. According to some such example embodiments, the integrated circuit die of the communication chip includes one or more integrated circuit structures or devices formed using the disclosed techniques, as variously described herein. As will be understood in light of this disclosure, note that multi-standard wireless capabilities may be integrated directly into the processor 1004 (e.g., where the functionality of any chip 1006 is integrated into the processor 1004, rather than having a separate communication chip). It is further noted that the processor 1004 may be a chipset having such wireless capabilities. In short, any number of processors 1004 and/or communication chips 1006 may be used. Likewise, any one chip or chipset may have multiple functions integrated therein.

In various embodiments, the computing system 1000 may be a laptop, a netbook, a notebook, a smartphone, a tablet, a Personal Digital Assistant (PDA), an ultra mobile PC, a mobile phone, a desktop computer, a server, a printer, a scanner, a monitor, a set-top box, an entertainment control unit, a digital camera, a portable music player, a digital video camera, or any other electronic device or system that processes data or employs one or more integrated circuit structures or devices formed using the disclosed techniques, as variously described herein. Note that references to computing systems are intended to include computing devices, apparatus, and other structures configured to compute or process information.

Other exemplary embodiments

The following examples relate to other embodiments, many permutations and configurations thereof will be apparent.

Example 1 is a semiconductor structure, comprising a substrate; a body located over the substrate, the body comprising a semiconductor material, the body being in the form of a nanowire, nanoribbon or nanosheet and having a first end and a second end; a gate structure surrounding the body between the first end and the second end, the gate structure including a gate electrode and a gate dielectric between the gate electrode and the body; a source region laterally adjacent to and in contact with the first end; a drain region laterally adjacent to and in contact with the second end; a first spacer material on opposing sides of the gate structure, the first spacer material being over the first end of the body; and a second spacer material on an opposite side of the gate structure and under the first end of the body; wherein (i) the second spacer material is different in composition from the first spacer material, or (ii) the second spacer material is the same as the first spacer material, the second spacer material also being vertically below and in contact with a bottom surface of the source region, and vertically below and in contact with a bottom surface of the drain region.

Example 2 includes the subject matter of example 1, wherein the second spacer material is compositionally different from the first spacer material.

Example 3 includes the subject matter of example 1, wherein the second spacer material is the same as the first spacer material, the second spacer material further vertically below and in contact with a bottom surface of the source region, and vertically below and in contact with a bottom surface of the drain region.

Example 4 includes the subject matter of any of examples 1-3, wherein the body is a first body of two or more bodies extending horizontally between the source region and the drain region.

Example 5 includes the subject matter of example 4, wherein the two or more bodies are arranged in a spaced apart vertical stack and the first spacer material is located below the first end of one or more of the two or more nanowire bodies.

Example 6 includes the subject matter of any of examples 1-5, wherein the body is a nanoribbon.

Example 7 is an integrated circuit, comprising a substrate; a first transistor structure having a first source on the substrate, a first drain on the substrate, a first body of a first width extending over the substrate between the first source and the first drain, and a first gate structure surrounding the first body between a first end and a second end of the first body, wherein the first gate structure includes a first gate electrode and a first gate dielectric between the gate electrode and the first body; a second transistor structure having a second source on the substrate, a second drain on the substrate, a second body of a second width extending over the substrate between the second source and the second drain, and a second gate structure surrounding the second body between a first end and a second end of the second body, wherein the second gate structure includes a second gate electrode and a second gate dielectric between the gate electrode and the second body; a first spacer material in contact with the first gate structure over the first end of the first body and in contact with the second gate structure over the first end of the second body; and a second spacer material located below the first end of the first body and below the first end of the second body, the second spacer material adjacent the gate structure in the first transistor structure and the second transistor structure being collinear with the first spacer material adjacent the gate structure.

Example 8 includes the subject matter of example 7, wherein the second width is at least twice the first width.

Example 9 includes the subject matter of example 7, wherein the second width is at least five times the first width.

Example 10 includes the subject matter of example 7, wherein the second width is at least ten times the first width.

Example 11 includes the subject matter of any of examples 7-10, wherein the first body is a nanowire and the second body is a nanoribbon or a nanosheet.

Example 12 includes the subject matter of any of examples 7-11, wherein the first spacer material is compositionally different from the second spacer material.

Example 13 includes the subject matter of any of examples 7-12, wherein the substrate defines a recess under the source and the drain of the first transistor structure and under the source and the drain of the second transistor structure, the second spacer material located in the recess under the source and the drain of the first transistor structure and under the source and the drain of the second transistor structure.

Example 14 includes the subject matter of any of examples 7-13, wherein in the first and second transistor structures, the second spacer material is vertically below and in contact with a bottom surface of the source region and vertically below and in contact with a bottom surface of the drain region.

Example 15 includes the subject matter of any of examples 7-14, wherein the second spacer material has a first lateral thickness to the gate structure in the first transistor structure and a second lateral thickness to the gate structure in the second transistor structure, the first lateral thickness and the second lateral thickness differing by no greater than 1 nm.

Example 16 includes the subject matter of example 15, wherein the first lateral thickness differs from the second lateral thickness by no greater than 0.5 nm.

Example 17 includes the subject matter of any of examples 7-16, wherein the first body is one of two or more bodies in a first vertical stack of bodies and the second body is one of two or more bodies in a second vertical stack of bodies.

Example 18 is a method of forming a nanowire transistor, the method comprising providing a semiconductor structure having a fin on a substrate, the fin having a stack of alternating layers of semiconductor material and sacrificial material, the semiconductor structure further comprising a dummy gate electrode on the fin between a source region and a drain region of the fin; depositing a first layer of spacer material; removing the source and drain regions of the fin, leaving portions of the stack under the dummy gate electrode; etching back the sacrificial material to define a cavity under an end of the semiconductor material in the portion of the stack under the dummy gate electrode; depositing a second spacer material in the cavity; etching the second spacer material to expose an end of the semiconductor material in the portion of the stack layer under the dummy gate electrode; and forming a replacement source and a replacement drain in contact with ends of the semiconductor material in the portion of the stack under the dummy gate electrode.

Example 19 includes the subject matter of example 18, and further includes removing the first layer of spacer material prior to depositing the second layer of spacer material.

Example 20 includes the subject matter of example 18 or 19, wherein depositing the second spacer material includes selecting the second spacer material to be compositionally different from the first spacer material.

Example 21 includes the subject matter of any of examples 18-20, wherein removing the source and drain regions defines recesses in the substrate, and forming the replacement source and the replacement drain includes forming a bottom surface of the replacement source in one of the recesses and a bottom surface of the replacement drain in the other of the recesses.

Example 22 includes the subject matter of example 21, wherein the etching back the second spacer material includes leaving a residual layer of the second spacer material in a recess in the substrate.

Example 23 includes the subject matter of any of examples 18-22, and further comprising removing the dummy gate structure; releasing the nanowire of semiconductor material in the channel region; and forming a replacement gate structure surrounding the nanowire in the channel region.

Example 24 includes the subject matter of any of examples 18-23, and further includes forming a source contact on the alternate source; and forming a drain contact on the replacement drain.

Example 25 includes the subject matter of any of examples 18-24, and further comprising implanting dopants into the source and drain regions.

Example 26 includes the subject matter of example 25, wherein implanting the dopant includes selecting the dopant to be a species found in the semiconductor material, a species found in the sacrificial material, or an inert species.

Example 27 includes the subject matter of any of examples 18-26, wherein providing the semiconductor structure includes providing a first semiconductor structure having a first fin with a first fin width, and providing a second semiconductor structure having a second fin with a second fin width different from the first fin width.

Example 28 includes the subject matter of example 27, wherein the etching back the sacrificial material to define the cavity includes defining the cavity to extend laterally within a predetermined lateral distance from an edge of a channel region vertically below the dummy gate electrode.

Example 29 includes the subject matter of example 28, wherein the predetermined lateral distance is less than 2 nm.

Example 30 includes the subject matter of example 28, wherein the predetermined lateral distance is less than 1 nm.

Example 31 includes the subject matter of example 28, wherein the predetermined lateral distance is less than 0.5 nm.

Example 32 is an integrated circuit, comprising a first body having a first body width and having a first end and a second end; a second body having a second body width greater than the first body width, the second body having a first end and a second end; a gate structure surrounding the first and second bodies between the first and second ends, the gate structure including a gate electrode and a gate dielectric; a source electrode contacting the first end of the first body and the first end of the second body; a drain electrode contacting the second end of the first body and the second end of the second body; a gate spacer laterally adjacent the gate structure over the first ends of the first and second bodies, the gate spacer comprising a first spacer material; and a cavity spacer located below the first end of the first body and below the first end of the second body, the cavity spacer comprising a second spacer material.

Example 33 includes the subject matter of example 32, wherein the first cavity spacer and the second cavity spacer have a uniform lateral thickness.

Example 34 includes the subject matter of example 32 or 33, wherein the first spacer material is compositionally different from the second spacer material.

Example 35 includes the subject matter of example 34, wherein the second spacer material is located below and in contact with a bottom surface of the source electrode and is located below and in contact with a bottom surface of the drain electrode.

Example 36 includes the subject matter of any of examples 32-35, wherein the cavity spacer is collinear with the gate spacer over the first end of the first body and collinear with the gate spacer over the first end of the second body.

Example 37 includes the subject matter of any of examples 32-36, wherein the first body is one of two or more bodies in a first vertical stack of bodies and the second body is one of two or more bodies in a second vertical stack of bodies.

Example 38 includes the subject matter of any of examples 32-37, wherein the first body is a nanowire and the second body is a nanoribbon or a nanosheet.

Example 39 includes the subject matter of any of examples 32-38, wherein the second width is at least twice the first width.

Example 40 includes the subject matter of example 39, wherein the second width is at least five times the first width.

Example 41 includes the subject matter of example 39, wherein the second width is at least ten times the first width.

Example 42 is an integrated circuit, comprising a nanowire having a first width; a nanoribbon having a second width greater than the first width; a gate structure surrounding the nanowire and surrounding the nanoribbon; a source electrode in contact with the first end of the nanowire and the first end of the nanoribbon; a drain electrode in contact with the second end of the nanowire and the second end of the nanoribbon; a gate spacer of a first material disposed laterally adjacent to the gate structure over the first end of the nanowire and over the first end of the nanoribbon; a first cavity spacer of a second material disposed below the first end of the nanowire; a second cavity spacer of a second material disposed below the first end of the nanoribbon.

Example 43 includes the subject matter of example 42, wherein the first cavity spacer and the second cavity spacer have a uniform lateral thickness.

Example 44 includes the subject matter of example 42 or 43, wherein the first material is compositionally different from the second material.

Example 45 includes the subject matter of example 44, wherein the second material is located below and in contact with a bottom surface of the source, and is located below and in contact with a bottom surface of the drain.

Example 46 includes the subject matter of any of examples 42-45, wherein the cavity spacer is collinear with the gate spacer over the first end of the nanowire and collinear with the gate spacer over the first end of the nanoribbon.

Example 47 includes the subject matter of any of examples 42-46, wherein the first nanowire is one of two or more nanowires in a first vertical stack of nanowires, and the nanoribbon is one of two or more nanoribbons in a vertical stack of nanoribbons.

Example 48 includes the subject matter of any of examples 42-47, wherein the second width is at least twice the first width.

Example 49 includes the subject matter of example 48, wherein the second width is at least five times the first width.

Example 50 includes the subject matter of example 48, wherein the second width is at least ten times the first width.

Example 51 is an integrated circuit die comprising the semiconductor structure of any of claims 1-6 and/or the integrated circuit of any of claims 7-17 and 32-50.

Example 52 includes the subject matter of example 51, wherein the integrated circuit die is a communication chip.

Example 53 includes the subject matter of example 51, wherein the integrated circuit die is a touchscreen controller.

Example 54 includes the subject matter of example 51, wherein the integrated circuit die is a memory.

Example 55 is a computing system comprising the integrated circuit of any of claims 7-17 and 32-50.

Example 56 includes the subject matter of example 55, wherein the integrated circuit is part of a communication chip.

Example 57 includes the subject matter of example 55, wherein the integrated circuit is part of a touchscreen controller.

Example 58 includes the subject matter of example 55, wherein the integrated circuit is a memory.

The foregoing description of the exemplary embodiments has been presented for the purposes of illustration and description. It is not intended to be exhaustive or to limit the disclosure to the precise form disclosed. Many modifications and variations are possible in light of this disclosure. It is intended that the scope of the disclosure be limited not by this detailed description, but rather by the claims appended hereto. Future filed applications claiming priority to the present application may claim the disclosed subject matter in different ways, and may generally include any set of one or more limitations, as variously disclosed or otherwise presented herein.

38页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:高电子移动率晶体管及其制造方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!