Method for forming gate spacer and semiconductor device

文档序号:1600473 发布日期:2020-01-07 浏览:5次 中文

阅读说明:本技术 用于形成栅极间隔件的方法以及半导体器件 (Method for forming gate spacer and semiconductor device ) 是由 高琬贻 柯忠祁 于 2018-11-06 设计创作,主要内容包括:本公开涉及用于形成栅极间隔件的方法以及半导体器件。一种方法包括:在晶片的半导体区域上方形成虚设栅极堆叠,以及在虚设栅极堆叠的侧壁上使用原子层沉积(ALD)沉积栅极间隔件层。沉积栅极间隔件层包括执行ALD循环以形成电介质原子层。ALD循环包括将甲硅烷基化甲基引入晶片、清除甲硅烷基化甲基、将氨引入晶片、以及清除氨。(The present disclosure relates to a method for forming a gate spacer and a semiconductor device. One method comprises the following steps: a dummy gate stack is formed over a semiconductor region of a wafer, and a gate spacer layer is deposited on sidewalls of the dummy gate stack using Atomic Layer Deposition (ALD). Depositing the gate spacer layer includes performing an ALD cycle to form a dielectric atomic layer. An ALD cycle includes introducing a silylated methyl group to a wafer, purging the silylated methyl group, introducing ammonia to the wafer, and purging the ammonia.)

1. A method for forming a gate spacer, comprising:

forming a dummy gate stack over a semiconductor region of a wafer; and

depositing a gate spacer layer on sidewalls of the dummy gate stack using Atomic Layer Deposition (ALD), the depositing the gate spacer layer comprising performing an ALD cycle to form an atomic layer of dielectric, wherein the ALD cycle comprises:

introducing a silylated methyl group to the wafer;

removing the silylated methyl group;

introducing ammonia into the wafer; and is

The ammonia is purged.

2. The method of claim 1, further comprising performing an anneal on the wafer after forming the gate spacer layer, wherein the anneal is performed with the wafer placed in an oxygen-containing gas.

3. The method of claim 2, wherein the annealing is performed at a temperature in a range between about 400 ℃ and about 500 ℃.

4. The method of claim 2, wherein, prior to the annealing, the gate spacer layer has a first atomic percent of nitrogen, and, after the annealing, a portion of the gate spacer layer has a second atomic percent of nitrogen that is lower than the first atomic percent of nitrogen.

5. The method of claim 2, wherein prior to the annealing, the gate spacer layer has a first k value that is higher than a k value of silicon oxide, and after the annealing, a portion of the gate spacer layer has a second k value that is lower than the k value of silicon oxide.

6. The method of claim 1, wherein depositing the gate spacer layer further comprises introducing ammonia into the wafer prior to performing the ALD cycle.

7. The method of claim 1, further comprising:

performing an anisotropic etch on the gate spacer layer to form gate spacers on opposite sides of the dummy gate stack; and is

The dummy gate stack is removed using a dry etch.

8. The method of claim 1, further comprising depositing a high-k dielectric layer as a sealing layer, wherein the high-k dielectric layer includes a portion that separates the dummy gate stack from the gate spacer layer.

9. A method for forming a gate spacer, comprising:

forming a dummy gate stack over a semiconductor region of a wafer;

forming a dielectric layer comprising silicon, nitrogen, oxygen, carbon, and hydrogen, wherein the dielectric layer has a first k value; and

performing an anneal on the dielectric layer, wherein after the anneal, the dielectric layer has a second k value that is lower than the first k value.

10. A semiconductor device, comprising:

a semiconductor region:

a gate stack over the semiconductor region;

a gate spacer on a sidewall of the gate stack, wherein the gate spacer comprises silicon, nitrogen, oxygen, carbon, and hydrogen, and the gate spacer is a low-k dielectric layer; and

a source/drain region on one side of the gate spacer.

Background

Transistors are basic building blocks in integrated circuits. In previous developments of integrated circuits, the gates of transistors were migrated from polysilicon gates to metal gates, which are typically formed as replacement gates. The formation of the replacement gate involves forming a dummy gate stack, forming gate spacers on sidewalls of the dummy gate stack, removing the dummy gate stack to form openings between the gate spacers, depositing a gate dielectric layer and a metal layer into the openings, and then performing a Chemical Mechanical Polishing (CMP) process to remove excess portions of the gate dielectric layer and the metal layer. The remaining portions of the gate dielectric layer and the metal layer are replacement gates.

Disclosure of Invention

Embodiments of the present disclosure provide a method for forming a gate spacer, comprising: forming a dummy gate stack over a semiconductor region of a wafer; and depositing a gate spacer layer on sidewalls of the dummy gate stack using Atomic Layer Deposition (ALD), the depositing the gate spacer layer comprising performing an ALD cycle to form an atomic layer of dielectric, wherein the ALD cycle comprises: introducing a silylated methyl group to the wafer; removing the silylated methyl group; introducing ammonia into the wafer; and purging the ammonia.

Embodiments of the present disclosure also provide a method for forming a gate spacer, comprising: forming a dummy gate stack over a semiconductor region of a wafer; forming a dielectric layer comprising silicon, nitrogen, oxygen, carbon, and hydrogen, wherein the dielectric layer has a first k value; and performing an anneal on the dielectric layer, wherein after the anneal, the dielectric layer has a second k value that is lower than the first k value.

Embodiments of the present disclosure also provide a semiconductor device including: a semiconductor region: a gate stack over the semiconductor region; a gate spacer on a sidewall of the gate stack, wherein the gate spacer comprises silicon, nitrogen, oxygen, carbon, and hydrogen, and the gate spacer is a low-k dielectric layer; and a source/drain region on one side of the gate spacer.

Drawings

Various aspects of the disclosure are best understood from the following detailed description when read with the accompanying drawing figures. It should be noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.

Fig. 1, 2, 3A, 3B, 4A, 4B, 5, 6A, 6B, 7A, 7B, 8, 9A, 9B, and 10-11 illustrate cross-sectional and perspective views of an intermediate stage in the formation of a fin field effect transistor (FinFET), according to some embodiments.

Fig. 12 illustrates a process for forming gate spacers according to some embodiments.

FIG. 13 shows the chemical formula of a silylated methyl group according to some embodiments.

Fig. 14 illustrates a chemical reaction in a portion of a gate spacer in an annealing process in accordance with some embodiments.

Fig. 15 illustrates a chemical reaction in a portion of a gate spacer in an annealing process in accordance with some embodiments.

Figure 16A schematically illustrates atomic percentages of nitrogen in portions of gate spacers before annealing, in accordance with some embodiments.

Figure 16B illustrates atomic percentages of nitrogen in portions of the gate spacers after annealing according to some embodiments.

Fig. 17 illustrates a process flow for forming a FinFET in accordance with some embodiments.

Detailed Description

The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course, these are merely examples and are not intended to be limiting. For example, in the description that follows, forming a first feature over or on a second feature may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features such that the first and second features may not be in direct contact. Further, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.

Furthermore, spatially relative terms (e.g., "below," "beneath," "below," "above," "upper," etc.) may be used herein to readily describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. These spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

Fin field effect transistors (finfets) and methods of forming the same are provided according to various embodiments. An intermediate stage of forming a FinFET is shown, according to some embodiments. Some variations of some embodiments are discussed. Like reference numerals are used to refer to like elements throughout the various views and illustrative embodiments. According to some embodiments of the present disclosure, the gate spacers of the finfets are doped with nitrogen and still have a lower k value. As the k value decreases, the parasitic capacitance in the resulting circuit decreases. With the added nitrogen, the gate spacers are more resistant to damage caused by the plasma used in the subsequent dummy gate removal process.

Fig. 1-11 illustrate perspective and cross-sectional views of intermediate stages in the formation of a FinFET according to some embodiments of the present disclosure. The steps shown in fig. 1-11 are also schematically reflected in the process flow 200 as shown in fig. 17.

Figure 1 shows a perspective view of the initial structure. The initial structure includes a wafer 10, the wafer 10 further including a substrate 20. The substrate 20 may be a semiconductor substrate (which may be a silicon substrate, a silicon germanium substrate) or a substrate formed of other semiconductor materials. The substrate 20 may be doped with p-type or n-type impurities. Isolation regions 22, such as Shallow Trench Isolation (STI) regions, are formed to extend from the top surface of the substrate 20 into the substrate 20. The portion of the substrate 20 between adjacent STI regions 22 is referred to as a semiconductor strip 24. According to some embodiments, the top surfaces of the semiconductor strips 24 and the top surfaces of the STI regions 22 may be substantially flush with each other. According to some embodiments of the present disclosure, the semiconductor strips 24 are part of the original substrate 20, and thus the material of the semiconductor strips 24 is the same as the material of the substrate 20. In accordance with an alternative embodiment of the present disclosure, semiconductor strips 24 are replacement strips formed by etching portions of substrate 20 between STI regions 22 to form recesses, and performing an epitaxial process to re-grow another semiconductor material in the recesses. Thus, the semiconductor strips 24 are formed of a semiconductor material that is different from the semiconductor material of the substrate 20. According to some embodiments of the present disclosure, the semiconductor strips 24 are formed of silicon germanium, silicon carbon, or III-V compound semiconductor materials.

STI regions 22 may include a pad oxide (not shown), which may be a thermal oxide formed by thermal oxidation of a surface layer of substrate 20. The pad oxide may also be a deposited silicon oxide layer formed using, for example, Atomic Layer Deposition (ALD), High Density Plasma Chemical Vapor Deposition (HDPCVD), or Chemical Vapor Deposition (CVD), etc. The STI region 22 may also include a dielectric material over the pad oxide, where the dielectric material may be formed using Flowable Chemical Vapor Deposition (FCVD), spin coating, or the like.

Referring to fig. 2, the STI region 22 is recessed such that the top of the semiconductor strip 24 protrudes above the top surface 22A of the remaining portion of the STI region 22 to form a protruding fin 24'. The corresponding process is shown in the process flow shown in fig. 17 as process 202. The etching may be performed using a dry etching process, wherein HF3And NH3Used as an etching gas. According to an alternative embodiment of the present disclosure, the recessing of the STI regions 22 is performed using a wet etch process. For example, the etching chemistry may include an HF solution.

In the embodiments described above, the fins may be patterned by any suitable method. For example, the fins may be patterned using one or more photolithography processes, including double patterning or multiple patterning processes. Typically, double-patterning or multi-patterning processes combine lithographic and self-aligned processes, allowing for the creation of patterns with, for example, smaller pitches than would otherwise be obtainable using a single direct lithographic process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithographic process. Spacers are formed alongside the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed and the fin may then be patterned using the remaining spacers or mandrels.

The material of the protruding fins 24' may be the same as or different from the material of the substrate 20. For example, the protruding fin 24' may be formed of Si, SiP, SiC, SiPC, SiGe, SiGeB, Ge, or III-V compound semiconductors (e.g., InP, GaAs, AlAs, InAs, InAlAs, InGaAs, etc.).

Referring to fig. 3A, a dummy gate stack 30 is formed to intersect the protruding fins 24'. The corresponding process is shown as process 204 in the process flow shown in fig. 17. The dummy gate stack 30 may include a dummy gate dielectric 32 and a dummy gate electrode 34 over the dummy gate dielectric 32. The dummy gate dielectric 32 may be formed of silicon oxide or other dielectric material. The dummy gate electrode 34 may be formed using, for example, polysilicon, and other materials may also be used. Each dummy gate stack 30 may also include one (or more) hard mask layer(s) 36 over dummy gate electrode 34. The hard mask layer 36 may be formed of silicon nitride, silicon oxide, silicon carbonitride, a multilayer thereof, or the like. The dummy gate stack 30 may span a single or multiple protruding fins 24' and/or STI regions 22. The dummy gate stack 30 also has a length direction that is perpendicular to the length direction of the protruding fins 24'. Formation of dummy gate stack 30 includes depositing a dummy gate dielectric layer, depositing a gate electrode layer over the dummy gate dielectric layer, depositing a hard mask layer, and patterning the stack.

According to an alternative embodiment, as shown in fig. 3B, after deposition of the layers, the gate electrode layer and the hard mask layer are patterned to form a dummy gate stack 30, and the gate dielectric 32 is unpatterned. Thus, the dummy gate dielectric layer 32 covers portions of the top surface and sidewalls of the protruding fins 24' that are not covered by the dummy gate stack 30.

Next, referring to fig. 4A and 4B, gate spacers 38 are formed on the sidewalls of the dummy gate stack 30. A corresponding process is shown as process 206 in the process flow shown in fig. 17. Fig. 4A shows a junction formed based on the structure shown in fig. 3A, and fig. 4B shows a structure formed based on the structure shown in fig. 3B. The formation of the gate spacers 38 may include depositing a dielectric layer(s) including vertical and horizontal portions and then performing an anisotropic etch to remove the horizontal portions, leaving the vertical portions as gate spacers 38. In a deposition process, a dielectric layer may be formed on the gate dielectric layer 32, the gate stack 30, and the exposed surfaces of the STI regions 22. According to some embodiments of the present disclosure, the gate spacers 38 are formed of a dielectric material including Si, N, O, C, and H. Furthermore, the gate spacers 38 include at least some portions formed of a low-k dielectric material having a k value below 3.9. The k value of at least some portions of the gate spacer 38 may be in a range between approximately 3.0 and 3.9. The formation of the gate spacers 38 is discussed with reference to fig. 4A and 4B and fig. 12-15 as follows.

Fig. 12 illustrates a process in the growth/deposition of a gate spacer layer 37, which gate spacer layer 37 is then etched in an anisotropic etch to form gate spacers 38, as shown in fig. 4A and 4B. At the beginning of the deposition process, the wafer 10 is placed in the ALD chamber. The intermediate structures shown in fig. 12 are identified using reference numerals 112, 114, 116, 118 and 120 to distinguish the structures generated by each stage. The wafer 10 includes a base layer 110, which may represent the dummy gate electrode 34, the gate dielectric layer 32, the STI regions 22, the protruding fins 24', etc., as shown in fig. 3A and 3B, so long as they are exposed at the beginning of the deposition process. In the example shown, the base layer 110 is shown as comprising silicon, which may be in the form of crystalline silicon, amorphous silicon, or polycrystalline silicon. According to some embodiments of the present disclosure, Si — OH bonds are formed at the surface of the silicon-containing layer 110 due to the formation of native oxides and the acquisition of moisture. The base layer 110 may comprise other types of silicon-containing materials, such as silicon oxide, silicon nitride, silicon oxycarbide, silicon oxynitride, and the like. The deposited layer 37 of fig. 12 may also be deposited on other non-silicon-containing layers.

With further reference to FIG. 12, in a process 130, ammonia (NH)3) Is introduced/pulsed into the ALD chamber in which the wafer 10 (fig. 3A or fig. 3B) is placed. The wafer 10 is heated to a temperature in a range between, for example, about 200 c to about 500 c. The Si-OH bonds as shown in structure 120 are broken and the silicon atom bonds with the NH molecule to form Si-NH bonds. The resulting structure is referred to as structure 114. According to some embodiments of the disclosure, NH is introduced3When this occurs, the plasma is not turned on. NH (NH)3The chamber may be held for a period of time between about 5 seconds and about 15 seconds.

Next, NH is purged from the corresponding chamber3. An ALD cycle is used to grow atomic layers of dielectric material. The ALD cycle includes processes 132 and 134, and a respective purge step following each of processes 132 and 134. In process 132, a silylated methyl group is introduced. The silylated methyl groups may have the formula (SiCl)3)2CH2. FIG. 13 shows the chemical formula of a silylated methyl group according to some embodiments. The formula indicates that the silylated methyl groups contain a chlorine atom bonded to two silicon atoms, and the two silicon atoms are bonded to a carbon atom. The temperature of the wafer 10 is also maintained elevated, for example, in a range between about 200 c and about 500 c, by the introduction/pulsing of the silylated methyl groups. The temperature can also be maintained and used for introducing NH3The process is the same. According to some embodiments of the present disclosure, the plasma is not turned on when the silylated methyl groups are introduced. The silylated methyl groups can have a pressure in the range of between about 0.5 torr and about 10 torr.

Structure 114 reacts with the silylated methyl group. The resulting structure is referred to as structure 116. The N-H bonds in structure 114 are broken and the Si-Cl bonds of each silicon atom are broken such that each silicon atom is bonded to one of the nitrogen atoms. Thus, the silylated methyl molecule is bonded to two nitrogen atoms. In process 132, the silylated methyl groups may be maintained in the ALD chamber for a period of time between about 5 seconds and about 15 seconds. The silylated methyl groups are then purged from the respective chambers.

Next, with further reference to process 134 in FIG. 12, the NH is reacted3Into an ALD chamber and NH3Reacts with structure 116 to form structure 118. As a result, the Si-Cl bonds shown in structure 116 are broken and the silicon atom bonds to the NH molecule, forming a Si-NH bond. According to some embodiments of the disclosure, NH is introduced3During which the wafer 10 is heated to a temperature in a range between, for example, about 200 c and about 500 c. The plasma may not be turned on. NH (NH)3A time period of between about 5 seconds and about 15 seconds may be maintained in the ALD chamber. NH (NH)3May have a pressure in a range between about 0.5 torr and about 10 torr. Next, NH is purged from the corresponding chamber3. The first ALD cycle is thus completed, with processes 132 and 134 and the corresponding purge processes. The first ALD cycle results in the formation of an atomic layer 39.

A second ALD cycle is performed (process 136). A second ALD cycle 136 is performed substantially the same as the ALD cycle including processes 132 and 134 and the corresponding purge process. Similarly, upon introduction of a silylated methyl group in the second ALD cycle, structure 118 (on wafer 10) reacts with the silylated methyl group. Some of the N-H bonds (structure 118) are broken and the Si-Cl bonds (FIG. 13) of each silicon atom in the silylated methyl groups are broken and then bonded to nitrogen atoms. Thus, the silylated methyl molecule is bonded to two nitrogen atoms. The silylated methyl groups can be maintained in the chamber for a period of time between about 5 seconds and about 15 seconds. Next, the silylated methyl groups are purged from the respective chambers. Then introducing NH3Which results in the breaking of Si-Cl bonds and the bonding of NH molecules to the silicon atoms. The second ALD cycle results in the growth of another atomic layer, as shown in figure 12. According to some embodiments of the present disclosure, during the second ALD cycle, the wafer 10 is also heated to a temperature in a range between, for example, about 200 ℃ and about 500 ℃. During the second ALD cycle, the plasma may not be turned on. The second ALD cycle results in the formation of another atomic layer 39 over the previously formed atomic layer 39.

A plurality of ALD cycles are then performed, each ALD cycle being substantially the same as the first ALD cycle, an atomic layer of the dielectric layer 37 (similar to atomic layer 39) being grown during each ALD cycle. Each ALD cycle having gatesThe thickness of the pole spacers 38 is increased, for example, by about

Figure BDA0001855641520000071

And finally the gate spacer layer 37 is formed. The gate spacer layer 37 is then patterned in an anisotropic etch process resulting in the gate spacers 38 as shown in fig. 4A and 4B. According to some embodiments of the present disclosure, the total thickness of the gate spacer layer 37 (and corresponding gate spacer 38) is greater than about

Figure BDA0001855641520000081

And may be in the range of about

Figure BDA0001855641520000082

And the combination

Figure BDA0001855641520000083

Within the range of (a) and (b), depending on design requirements. According to some embodiments of the present disclosure, an ALD cycle is performed with the wafer 10 maintained at the same temperature. According to alternative embodiments, different ALD cycles may be performed at different temperatures, as discussed in subsequent paragraphs.

It should be understood that the process discussed is not limited to the formation of gate spacers and may be used to form dielectric layers and other vertical dielectric features.

The gate spacer layer 37 (fig. 12) and gate spacers 38 (fig. 4A and 4B) formed using ALD cycles comprise SiNOCH. The resulting gate spacers 38 as formed (prior to being subjected to a subsequent anneal process) may have a k value of greater than about 7 or more. According to some embodiments of the present disclosure, the gate spacers 38 formed by the ALD cycle have a nitrogen atomic percentage in a range between about 3% and about 30%, depending on the process conditions. The atomic percent of nitrogen in the gate spacers 38 is related to the temperature of the ALD cycle, and higher temperatures result in higher percentages of nitrogen and lower temperatures result in lower atomic percentages of nitrogen.

Referring back to fig. 4A and 4B, the gate spacers 38 may have a uniform composition (with uniform percentages of Si, O, C, H, and/or N). According to an alternative embodiment, the gate spacers 38 may have different portions (sub-layers) having different compositions, e.g., different atomic percentages of nitrogen. These different portions are schematically represented by sub-layers 38A, 38B, 38C, and 38D. The sublayers in gate spacer 38 are formed according to the order of 38A- >38B- >38C- > 38D.

It will be appreciated that in subsequent steps (fig. 7B and 8), the dummy gate stack is removed, which may involve a dry etch in which plasma is generated. The gate spacer 38, particularly the interior portions such as the sub-layer 38A, is exposed to plasma damage and may be undesirably etched away. When the gate spacers 38 have a higher atomic percentage of nitrogen, they are more resistant to damage caused by the plasma. Thus, in accordance with some embodiments of the present disclosure, when removing the dummy gate stack 30, the sub-layer 38A may be formed to have a higher percentage of nitrogen than the remaining sub-layers 38B, 38C, and 38D (fig. 8).

The sub-layers 38A, 38B, 38C, and 38D (when deposited) may have a nitrogen atomic percent in a range between about 3% to about 30% when they are deposited. According to some embodiments of the present disclosure, the entirety of the gate spacer 38 (including all sub-layers 38A, 38B, 38C, and 38D) has the same atomic percentage of nitrogen when deposited. According to an alternative embodiment, at 38A->38B->38C->38D, the atomic percentage of nitrogen gradually decreases. Due to the presence of the high-nitrogen sub-layer 38A, the gate spacer 38 has an improved resistance to damage by the plasma in the step shown in fig. 8, since the sub-layer 38A is exposed to the plasma. Due to the reduction of nitrogen in the sublayers 38B, 38C, and 38D, the sublayers 38B, 38C, and 38D have reduced k values, and the overall k value of the gate spacer 38 is reduced, resulting in a reduction in parasitic capacitance between the corresponding gate and surrounding features. Thus, sublayers 38A, 38B, 38C, and 38D having a reduced atomic percentage of nitrogen may improve the resistance of the gate spacer 38 to damage by the plasma while still keeping the parasitic capacitance low. According to some embodiments of the present disclosure, the atomic percent of nitrogen decreases continuously from the inner sidewall 38' to the outer sidewall 38 ". This may be accomplished, for example, by forming gate spacers 38During which the temperature of the wafer 10 is gradually lowered. For example, a later performed ALD cycle may be performed at a lower temperature than a previously performed ALD cycle, while other process conditions (e.g., silylated methyl and NH)3Pressure, pulse duration, etc.) may be the same from one ALD cycle to another ALD cycle. The temperature reduction may be continuous or staged. For example, fig. 16A illustrates some of the possible temperature distributions according to the distance of the respective sub-layers from the inner sidewall 38' (fig. 4A and 4B). Line 141 represents a temperature profile in which the temperature is uniform during deposition of layer 37 (fig. 12). Line 142 represents a temperature profile in which the temperature is continuously decreasing. Line 144 represents a temperature profile in which the temperature is reduced in stages, where each stage may correspond to the formation of a sub-layer or a plurality of sub-layers. The resulting atomic percent of nitrogen may exhibit a similar trend as a continuous decrease or decrease in stages, as schematically shown in fig. 16B. It should be appreciated that although fig. 16B shows the atomic percent of nitrogen prior to annealing, as discussed in subsequent paragraphs, the atomic percent of nitrogen shows a similar trend after annealing, except that the reduction in atomic percent of nitrogen becomes smoother after annealing.

After deposition (growth) of the gate spacers 38, an anneal is performed. The anneal may be performed before or after etching the gate spacer layer 37 (fig. 12) to form the gate spacers 38 (fig. 4A and 4B). According to some embodiments of the present disclosure, annealing is performed in an oxygen-containing ambient, which may include steam (H)2O), oxygen (O)2) Oxygen radicals (O), or combinations thereof. The annealing may be performed at a temperature in a range between about 400 ℃ to about 500 ℃. The annealing may be for a time period in a range between about 30 minutes and about 2 hours. The anneal causes holes to be formed in the gate spacers 38 and the k value of the gate spacers 38 to decrease. The annealing may be performed with or without turning on the plasma. According to some embodiments of the present disclosure, the k value of the gate spacer 38 is reduced by a value greater than 2.0, for example, as a result of the anneal. The k value of the gate spacer 38 may be reduced below 3.9 so that the gate spacer 38 may become a low-k dielectric gate spacer.

Fig. 14 shows a structural variation of the gate spacer 38 before and after annealing. As shown in FIG. 14, due to the annealing, the two NH bonds encircled by circle 150 are replaced by oxygen atoms as shown by circle 152. Furthermore, a methylene bridge (-CH) of two silicon atoms2)156 may also be cleaved and an additional hydrogen atom may be attached to the carbon to form a methyl group (-CH) attached to one of the silicon atoms3) Functional groups (in circles 159). Another silicon atom not attached to a methyl functional group (in circle 159) is bonded to an oxygen atom (in circle 155). Voids may form in the process. Effectively, one of the Si-C bonds is replaced by a Si-O bond. FIG. 14 shows a portion of the gate spacer 38, where CH2(in circle 157) by CH3Group (in circle 159) and oxygen atom (in circle 152). It is understood that the newly attached oxygen atoms (in circles 155) each have two bonds, and that other bonds that may be attached to other silicon atoms are not shown. Fig. 15 shows a portion of the spacer layer 37 in which two silicon atoms are bonded to newly attached oxygen atoms. The k value of the gate spacer 38 is reduced due to the replacement of the NH groups with oxygen and the formation of voids.

The anneal temperature and anneal duration affect the atomic percent nitrogen and k value of the resulting gate spacer 38. Prior to annealing, the atomic percentage of nitrogen is high and the k value of the gate spacer 38 is also high. For example, when the atomic percentage of nitrogen is greater than about 10%, the k value of the gate spacer 38 is greater than about 3.9. When the annealing temperature is low, more NH molecules are replaced by oxygen atoms and more methylene bridges (-CH) are formed as the temperature increases2) Cleavage to form Si-CH3A key. Therefore, the k value becomes lower and the nitrogen atom percentage also becomes lower. However, when the temperature is further raised or the annealing is further prolonged, too many nitrogen atoms are lost and the k value will increase again. According to some embodiments of the present disclosure, the gate spacers 38 (when having a low k value) have a nitrogen atomic percent in a range between about 1% and about 10%, which is reduced from a nitrogen atomic percent of 3% to 30% prior to annealing. According to some embodiments of the present disclosure, the annealing reduces the first atomic percent of nitrogen to a second atomic percent of nitrogen in the resulting dielectric layer 38/38', and the second atomic percent of nitrogenThe ratio to the first atomic percent of nitrogen may be in a range between about 1/5 and about 1/2.

In addition, the reduction in atomic percent of nitrogen results in a reduction in the resistance of the gate spacer to plasma damage. Thus, it is desirable that the atomic percent of nitrogen be in the range of between about 1% and about 10% after annealing, and may be in the range of between about 1% and about 5%. Thus, the anneal temperature is maintained within a desired range of about 400 ℃ and about 500 ℃ to achieve a low k value without compromising the gate spacer's ability to resist damage from the plasma. The atomic percent of nitrogen in the gate spacer 38 after the anneal may be schematically illustrated in fig. 16B, although the value of the atomic percent of nitrogen is reduced from that before the anneal. Fig. 16B shows an exemplary atomic percentage of nitrogen as a function of distance from the inner sidewall 38' (fig. 4A and 4B). The reduction in atomic percent of nitrogen in the direction from the inner sidewall 38 'to the outer sidewall 38 "may be due to the outer portion (closer to the sidewall 38") being annealed better than the inner portion (closer to the sidewall 38'), thereby losing more nitrogen. The reduction in atomic percent of nitrogen in the direction from the inner sidewall 38' to the outer sidewall 38 "may also be due to the atomic percent distribution as shown in fig. 16A.

The anneal also causes the density of the gate spacers 38 to decrease. E.g., greater than about 2.3g/cm prior to annealing3The density of the gate spacers 38 may be reduced to less than about 2.0g/cm after annealing as compared to the density of3And may fall in the range of about 1.6g/cm3And about 1.9g/cm3Within the range of (a).

According to some embodiments of the present disclosure, after annealing, sublayer 38A may have a higher k value than other portions of gate spacer 38. Thus, the sub-layer 38A may act as a sealing layer to protect other portions (e.g., sub-layers 38B, 38C, and 38D) from the plasma. After annealing, sealing layer 38A may have a k value greater than, equal to, or less than 3.9.

According to other embodiments of the present disclosure, the sub-layer 38A is formed of silicon nitride, silicon oxycarbide, or the like. The formation may also be performed using ALD, except that the process gases are different. For example, when formed of silicon nitride, the process gas may include NH3And dichlorosilane (DCS, SiH)2Cl2). The resulting sealing layer 38A has a k value greater than 4.0, and may have a k value between about 4.0 and 7.0.

In the drawings shown later, the structure shown in fig. 4A is used as an example. The structure formed based on fig. 4B can also be understood. In subsequent steps, source/drain regions are formed, as shown in fig. 5 and fig. 6A and 6B. A corresponding process is shown in the process flow shown in fig. 17 as process 208. According to some embodiments of the present disclosure, an etching process (hereinafter referred to as fin recess) is performed to etch the portion of the protruding fins 24' not covered by the dummy gate stack 30 and the gate spacers 38, resulting in the structure depicted in fig. 5. If dielectric layer 32 (fig. 4B) has portions that are not covered by dummy gate stack 30 and gate spacers 38, those portions are etched first. The recess of the protruding fin 24 'may be anisotropic, so that the portion of the fin 24' directly under the dummy gate stack 30 and the gate spacer 38 is protected and not etched. According to some embodiments, the top surface of the recessed semiconductor strips 24 may be lower than the top surface 22A of the STI region 22. Thus, recesses 40 are formed between the STI regions 22. Recesses 40 are located on opposite sides of dummy gate stack 30.

Next, epitaxial regions (source/drain regions) 42 are formed by selectively growing semiconductor material in the recesses 40, resulting in the structure in fig. 6A. According to some embodiments of the present disclosure, epitaxial region 42 comprises silicon germanium, silicon, or silicon carbon. Depending on whether the resulting FinFET is a p-type FinFET or an n-type FinFET, epitaxy may be used to dope the p-type or n-type impurity in situ. For example, when the resulting FinFET is a p-type FinFET, silicon germanium boron (SiGeB), GeB, or the like may be grown. In contrast, when the resulting FinFET is an n-type FinFET, silicon phosphorus (SiP), silicon carbon phosphorus (SiCP), or the like may be grown. According to an alternative embodiment of the present disclosure, epitaxial region 42 is formed of a III-V compound semiconductor, such as GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlAs, AlP, GaP, combinations thereof, or multiple layers thereof. After the epi region 42 completely fills the recess 40, the epi region 42 begins to expand horizontally and may form a facet.

After the epitaxy step, the epitaxial regions 42 may be further implanted with p-type or n-type impurities to form source and drain regions, which are also denoted with reference numeral 42. According to an alternative embodiment of the present disclosure, the implantation step is skipped when the epitaxial region 42 is in-situ doped with p-type or n-type impurities during epitaxy to form source/drain regions. The epitaxial source/drain regions 42 include a lower portion formed in the STI region 22 and an upper portion formed above the top surface of the STI region 22.

Fig. 6B illustrates the formation of cladding source/drain regions 42 according to an alternative embodiment of the present disclosure. According to these embodiments, the protruding fin 24 'as shown in fig. 3 is not recessed and the epitaxial region 41 is grown on the protruding fin 24'. The material of the epitaxial region 41 may be similar to the material of the epitaxial semiconductor material 42 as shown in fig. 6A, depending on whether the resulting FinFET is a p-type or n-type FinFET. Thus, source/drain regions 42 include protruding fin 24' and epitaxial region 41. Implantation may (or may not) be performed to implant an n-type impurity or a p-type impurity. It is understood that the source/drain regions 42 as shown in fig. 6A and 6B may be merged with each other, or remain separate.

Fig. 7A shows a perspective view of the structure after forming a Contact Etch Stop Layer (CESL)46 and an interlayer dielectric (ILD) 48. The corresponding process is shown as process 210 in the process flow shown in fig. 17. CESL 46 may be formed of silicon nitride, silicon carbonitride, or the like. For example, the CESL 46 may be formed using a conformal deposition method such as ALD or CVD. ILD48 may comprise a dielectric material formed using, for example, FCVD, spin-on coating, CVD, or another deposition method. ILD48 may also be formed of an oxygen-containing dielectric material, which may be a silicon oxide-based material, such as Tetraethylorthosilicate (TEOS) oxide, plasma-enhanced CVD (PECVD) oxide (SiO)2) Phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass (BPSG), and the like. A planarization process, such as a Chemical Mechanical Polishing (CMP) process or a mechanical grinding process, is performed to make the top surfaces of the ILD48, dummy gate stack 30 and gate spacer 38 flush with each other.

Fig. 7B shows a cross-sectional view of the structure shown in fig. 7A. The cross-sectional view is taken from a vertical plane containing line 7B-7B in fig. 7A. As shown in fig. 7B, one of the dummy gate stacks 30 is shown.

Next, the dummy gate stack 30, including the hard mask layer 36, the dummy gate electrode 34, and the dummy gate dielectric 32, is replaced with a replacement gate stack. The replacement step includes etching the hard mask layer 36, dummy gate electrode 34, and dummy gate dielectric 32 as shown in fig. 7A and 7B in one or more etching steps such that a trench 49 is formed between opposing portions of the gate spacer 38 as shown in fig. 8. The corresponding process is shown as process 212 in the process flow shown in fig. 17. The etching process may be performed using, for example, dry etching. The plasma may also be turned on during the etching process. The etching gas is selected based on the material to be etched. For example, when hard mask 36 comprises silicon nitride, the etch gas may comprise a fluorine-containing process gas, e.g., CF4/O2/N2、NF3/O2、SF6Or SF6/O2And the like. Can use C2F6、CF4、SO2HBr and Cl2And O2HBr and Cl2And O2Or HBr, Cl2、O2And CF2The dummy gate electrode 34, etc. NF may be used3And NH3Or HF and NH3The dummy gate dielectric 32.

During the etching of dummy gate stack 30, gate spacer 38, and particularly sub-layer 38A, is exposed to plasma. Sublayer 38A may include nitrogen, and thus gate spacer 38 is more resistant to damage caused by the plasma. According to some embodiments of the present disclosure, the gate spacer 38 has an approximate thickness

Figure BDA0001855641520000131

Figure BDA0001855641520000132

And the combination

Figure BDA0001855641520000133

And the damage portion may have a thickness in a range of less than about

Figure BDA0001855641520000134

Is measured. The thickness of sealing layer 38A may be reduced during etching, for example, from aboutAnd the combination

Figure BDA0001855641520000136

Decrease to about

Figure BDA0001855641520000137

And the combination

Figure BDA0001855641520000138

A value within the range of (a) to (b). Since sealing layer 38A is more resistant to damage caused by the plasma, sealing layer 38A will leave portions to protect inner portions 38B/38C/38D after etching, where the inner portions have a lower atomic percentage of nitrogen and are therefore more susceptible to damage.

Next, referring to fig. 9A and 9B, a (replacement) gate stack 60 is formed, which includes gate dielectric layer 54 and gate electrode 56. A corresponding process is shown in the process flow shown in fig. 17 as process 214. FIG. 9B illustrates a cross-sectional view of FIG. 9A, wherein the cross-sectional view is taken from a plane containing line 9B-9B in FIG. 9A.

The formation of the gate stack 60 includes forming/depositing a plurality of layers and then performing a planarization process, such as a CMP process or a mechanical polishing process. The formation of the gate stack 60 includes forming/depositing a plurality of layers and then performing a planarization process, for example, a CMP process or a mechanical polishing process. Gate dielectric layer 54 extends into the trench left by the removed dummy gate stack. According to some embodiments of the present disclosure, the gate dielectric layer 54 includes an Interfacial Layer (IL)50 (fig. 9B) as a lower portion thereof. IL50 is formed on the exposed surface of the protruding fin 24'. IL50 may be packagedIncluding an oxide layer (e.g., a silicon oxide layer) formed by thermal oxidation, chemical oxidation process, or deposition process of the protruding fins 24'. Gate dielectric layer 54 may also include a high-k dielectric layer 52 formed over IL50 (fig. 9B). High-k dielectric layer 52 comprises a high-k dielectric material, e.g., HfO2、ZrO2、HfZrOx、HfSiOx、HfSiON、ZrSiOx、HfZrSiOx、Al2O3、HfAlOx、HfAlN、ZrAlOx、La2O3、TiO2、Yb2O3Silicon nitride, and the like. The high-k dielectric material has a dielectric constant (k value) higher than 3.9 and may be higher than about 7.0. High-k dielectric layer 52 is formed as a conformal layer and extends over the sidewalls of protruding fin 24' and the sidewalls of gate spacer 38. According to some embodiments of the present disclosure, the high-k dielectric layer 52 is formed using ALD or CVD.

Referring again to fig. 9A and 9B, a gate electrode 56 is formed on top of the gate dielectric layer 54 and fills the remaining portion of the trench left by the removed dummy gate stack. The sublayers in the gate electrode 56 are not separately shown in fig. 9A and 9B, but actually, the sublayers may be distinguished from each other due to their different compositions. The deposition of at least the lower sub-layer may be performed using a conformal deposition method, such as ALD or CVD, such that the thickness of the vertical portions and the thickness of the horizontal portions of the gate electrode 56 (and each sub-layer) are substantially equal to each other.

Gate electrode 56 may include multiple layers including, but not limited to: a Titanium Silicon Nitride (TSN) layer, a titanium nitride (TiN) layer, a titanium aluminum (TiAl) layer, an additional TiN and/or TaN layer, and a filler metal. Some of these layers define the work function of the corresponding FinFET. Further, the metal layers of the p-type finfets and the n-type finfets may be different from each other such that the work function of the metal layers is appropriate for the respective p-type or n-type finfets. The filler metal may comprise aluminum, copper, cobalt.

Next, as shown in fig. 10, a hard mask 62 is formed. The corresponding process is shown as process 216 in the process flow shown in fig. 17. The formation of the hard mask 62 includes recessing the replacement gate stack 60 by etching to form a recess, filling a dielectric material into the recess, and performing planarization to remove excess portions of the dielectric material, according to some embodiments of the present disclosure. The remaining portion of the dielectric material is a hard mask 62. According to some embodiments of the present disclosure, the hard mask 62 is formed of silicon nitride, silicon oxynitride, silicon oxycarbide nitride, or the like.

Fig. 11 shows a subsequent step for forming a contact plug. Silicide regions 63 and contact plugs 64 are first formed to extend into ILD48 and CESL 46. A corresponding process is shown as process 218 in the process flow shown in fig. 17. An etch stop layer 66 is then formed. According to some embodiments of the present disclosure, the etch stop layer 66 is formed of SiN, SiCN, SiC, SiOCN, or the like. The forming method may include PECVD, ALD, CVD, and the like. Next, ILD 68 is formed over etch stop layer 66. The corresponding process is shown as process 220 in the process flow shown in fig. 17. The material of ILD 68 may be selected from the same set of candidate materials (and methods) for forming ILD48, and ILDs 48 and 68 may be formed of the same or different dielectric materials. According to some embodiments of the present disclosure, the ILD 68 is formed using PECVD, FCVD, spin-on coating, and the like, and may comprise silicon oxide (SiO)2)。

ILD 68 and etch stop layer 66 are etched to form openings. The etching may be performed using, for example, Reactive Ion Etching (RIE). In the subsequent step, as shown in fig. 11, a gate contact plug 70 and a source/drain contact plug 72 are formed in the opening to be electrically connected to the gate electrode 56 and the source/drain contact plug 64, respectively. A corresponding process is shown as process 222 in the process flow shown in fig. 17. Thus forming FinFET 74.

Embodiments of the present disclosure have some advantageous features. By incorporating nitrogen into the gate spacers without increasing the k value of the gate spacers, the resistance of the gate spacers to plasma damage (which occurs during etching of the dummy gate stack) is improved, while the parasitic capacitance generated by the gate spacers is at least not increased and may be reduced.

According to some embodiments of the disclosure, a method comprises: forming a dummy gate stack over a semiconductor region of a wafer; and in the dummy gateDepositing a gate spacer layer on sidewalls of the pole stack using ALD, the depositing the gate spacer layer comprising performing an ALD cycle to form an atomic layer of dielectric, wherein the ALD cycle comprises introducing a silylated methyl group to the wafer; removing the silylated methyl group; introducing ammonia to the wafer; and ammonia is purged. In an embodiment, the method further comprises performing an anneal on the wafer after forming the gate spacer layer, wherein the anneal is performed with the wafer placed in an oxygen-containing gas. In an embodiment, the annealing is performed at a temperature in a range between about 400 ℃ and about 500 ℃. In an embodiment, the gate spacer layer has a first atomic percent of nitrogen before the annealing, and a portion of the gate spacer layer has a second atomic percent of nitrogen after the annealing that is lower than the first atomic percent of nitrogen. In an embodiment, the gate spacer layer has a first k value higher than a k value of silicon oxide before the annealing, and a portion of the gate spacer layer has a second k value lower than the k value of silicon oxide after the annealing. In the examples, the silylated methyl groups have the formula (SiCl)3)2CH2. In an embodiment, the method further includes performing an anisotropic etch on the gate spacer layer to form gate spacers on opposite sides of the dummy gate stack; and removing the dummy gate stack using a dry etch and generating a plasma in removing the dummy gate stack. In an embodiment, the method further includes depositing a high-k dielectric layer as the sealing layer, wherein the high-k dielectric layer includes a portion separating the dummy gate stack from the gate spacer layer. In an embodiment, the method further comprises repeating the ALD cycle until the gate spacer layer has a thickness greater than about

Figure BDA0001855641520000161

Is measured.

According to some embodiments of the disclosure, a method comprises: forming a dummy gate stack over a semiconductor region of a wafer; forming a dielectric layer comprising SiNOCH, wherein the dielectric layer has a first k value; and performing an anneal on the dielectric layer, wherein after the anneal, the dielectric layer has a second k value that is lower than the first k value. In the examplesThe annealing is performed at a temperature in a range between about 400 ℃ and about 500 ℃. In an embodiment, the dielectric layer is formed using Atomic Layer Deposition (ALD), and ALD includes alternately pulsing silylated methyl groups and ammonia. In an embodiment, the annealing comprises H2O、O2Or oxygen-containing environments of oxygen radicals. In an embodiment, the annealing reduces the k-value of the dielectric layer from a high k-value above 4.0 to a low k-value below 3.9. In an embodiment, the annealing reduces the atomic percentage of nitrogen in the dielectric layer from a first value to a second value, wherein the first value is in a range between about 3% and about 30%, and the second value is in a range between about 1% and about 10%.

According to some embodiments of the disclosure, a device comprises: a semiconductor region: a gate stack over the semiconductor region; a gate spacer on a sidewall of the gate stack, wherein the gate spacer comprises SiNOCH and SiNOCH are low-k dielectric materials; and source/drain regions on one side of the gate spacer. In an embodiment, the gate spacer includes an inner sidewall and an outer sidewall, and the outer sidewall is further from the gate stack than the inner sidewall, and the atomic percent of nitrogen gradually decreases in a direction from the inner sidewall to the outer sidewall. In an embodiment, the entire gate spacer from the inner sidewall to the outer sidewall includes SiNOCH having a nitrogen atomic percent in a range between about 1% and about 10%. In an embodiment, the gate spacer further comprises a high-k dielectric sealing layer in contact with the gate stack. In an embodiment, the atomic percent of nitrogen of the SiNOCH is in the range of about 1% and about 10%.

The foregoing has outlined features of some embodiments so that those skilled in the art may better understand the various aspects of the disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions and alterations herein without departing from the spirit and scope of the present disclosure.

Example 1 is a method for forming a gate spacer, comprising: forming a dummy gate stack over a semiconductor region of a wafer; and depositing a gate spacer layer on sidewalls of the dummy gate stack using Atomic Layer Deposition (ALD), the depositing the gate spacer layer comprising performing an ALD cycle to form an atomic layer of dielectric, wherein the ALD cycle comprises: introducing a silylated methyl group to the wafer; removing the silylated methyl group; introducing ammonia into the wafer; and purging the ammonia.

Example 2 includes the method of example 1, further comprising performing an anneal on the wafer after forming the gate spacer layer, wherein the anneal is performed with the wafer placed in an oxygen-containing gas.

Example 3 includes the method of example 2, wherein the annealing is performed at a temperature in a range between about 400 ℃ and about 500 ℃.

Example 4 includes the method of example 2, wherein, prior to the annealing, the gate spacer layer has a first atomic percent of nitrogen, and, after the annealing, a portion of the gate spacer layer has a second atomic percent of nitrogen that is lower than the first atomic percent of nitrogen.

Example 5 includes the method of example 2, wherein, prior to the annealing, the gate spacer layer has a first k value that is higher than a k value of silicon oxide, and, after the annealing, a portion of the gate spacer layer has a second k value that is lower than the k value of silicon oxide.

Example 6 includes the method of example 1, wherein depositing the gate spacer layer further comprises introducing ammonia into the wafer prior to performing the ALD cycle.

Example 7 includes the method of example 1, further comprising: performing an anisotropic etch on the gate spacer layer to form gate spacers on opposite sides of the dummy gate stack; and removing the dummy gate stack using a dry etch.

Example 8 includes the method of example 1, further comprising depositing a high-k dielectric layer as a sealing layer, wherein the high-k dielectric layer includes a portion that separates the dummy gate stack from the gate spacer layer.

Example 9 includes the method of example 1, further comprising repeating the ALD cycle until the gate spacer layer has a thickness greater than about

Figure BDA0001855641520000181

Is measured.

Example 10 is a method for forming a gate spacer, comprising: forming a dummy gate stack over a semiconductor region of a wafer; forming a dielectric layer comprising silicon, nitrogen, oxygen, carbon, and hydrogen, wherein the dielectric layer has a first k value; and performing an anneal on the dielectric layer, wherein after the anneal, the dielectric layer has a second k value that is lower than the first k value.

Example 11 includes the method of example 10, wherein the annealing is performed at a temperature in a range between about 400 ℃ and about 500 ℃.

Example 12 includes the method of example 10, wherein the dielectric layer is formed using Atomic Layer Deposition (ALD), and the ALD includes alternately pulsing silylated methyl and ammonia.

Example 13 includes the method of example 10, wherein the annealing is performed in an oxygen-containing ambient including H2O, O2, or oxygen radicals.

Example 14 includes the method of example 10, wherein the annealing reduces a k-value of the dielectric layer from a high k-value above 4.0 to a low k-value below 3.9.

Example 15 includes the method of example 10, wherein the annealing decreases an atomic percentage of nitrogen in the dielectric layer from a first value to a second value, wherein the first value is in a range between about 3% and about 30%, and the second value is in a range between about 1% and about 10%.

Example 16 is a semiconductor device, comprising: a semiconductor region: a gate stack over the semiconductor region; a gate spacer on a sidewall of the gate stack, wherein the gate spacer comprises silicon, nitrogen, oxygen, carbon, and hydrogen, and the gate spacer is a low-k dielectric layer; and a source/drain region on one side of the gate spacer.

Example 17 includes the device of example 16, wherein the gate spacer includes an inner sidewall and an outer sidewall, and the outer sidewall is farther from the gate stack than the inner sidewall, and an atomic percentage of nitrogen gradually decreases in a direction from the inner sidewall to the outer sidewall.

Example 18 includes the device of example 17, wherein an entirety of the gate spacer from the inner sidewall to the outer sidewall has a nitrogen atomic percent in a range between about 1% and about 10%.

Example 19 includes the device of example 16, wherein the gate spacer further includes a high-k dielectric sealing layer in contact with the gate stack.

Example 20 includes the device of example 19, wherein the high-k dielectric sealing layer has a higher atomic percentage of nitrogen than a remainder of the gate spacer.

37页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:半导体装置的制造方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!