method for manufacturing semiconductor device

文档序号:1694041 发布日期:2019-12-10 浏览:34次 中文

阅读说明:本技术 半导体装置的制造方法 (method for manufacturing semiconductor device ) 是由 张雅晴 张庆裕 林进祥 陈彦豪 于 2019-03-13 设计创作,主要内容包括:本发明实施例提供一种半导体装置的制造方法,包括:形成一光刻胶层于一基板之上,其中所述光刻胶层包括与一光酸产生剂混合的一聚合物,所述光酸产生剂与一或多个极性增强基团键结,所述极性增强基团被配置以增加光酸产生剂的一偶极矩;将所述光刻胶层曝光在一辐射光源下;以及显影所述光刻胶层,产生图案化光刻胶层。曝光可将光酸产生剂分离成阳离子和阴离子,使得与阳离子键结的一极性增强基团增加阳离子的极性,且与阴离子键结的一极性增强基团增加阴离子的极性。(An embodiment of the present invention provides a method for manufacturing a semiconductor device, including: forming a photoresist layer over a substrate, wherein the photoresist layer comprises a polymer mixed with a photoacid generator bonded to one or more polarity enhancing groups configured to increase a dipole moment of the photoacid generator; exposing the photoresist layer to a source of radiation; and developing the photoresist layer to produce a patterned photoresist layer. The exposure separates the photoacid generator into cations and anions such that a polarity-enhancing group bonded to the cation increases the polarity of the cation and a polarity-enhancing group bonded to the anion increases the polarity of the anion.)

1. A method of manufacturing a semiconductor device, comprising:

Forming a photoresist layer over a substrate, wherein the photoresist layer comprises a polymer mixed with a photoactive unit comprising a polarity-enhancing group bonded to a photoacid generator, the polarity-enhancing group configured to increase a dipole moment of the photoacid generator;

Exposing the photoresist layer to a radiation; and

The photoresist layer is developed.

2. The method of claim 1, wherein said exposing dissociates said photoacid generator into a positively charged moiety and a negatively charged moiety, and wherein said polarity-enhancing group increases the dipole moment of one or both of said positively charged moiety and said negatively charged moiety.

3. The method of claim 2, wherein one of the polarity-enhancing groups is bonded to the negatively charged moiety and more than one of the polarity-enhancing groups is bonded to the positively charged moiety.

4. The method according to claim 1, wherein the polarity-enhancing group comprises a halogen-containing functional group, an oxygen-containing functional group, a nitrogen-containing functional group, a sulfur-containing functional group, a silicon-containing functional group, or combinations thereof.

5. The method according to claim 1, wherein the photosensitive unit further comprises a non-polar linking unit disposed between the photoacid generator and the polarity enhancing group, the non-polar linking unit comprising an alkyl group having 2 to 5 carbon atoms.

6. The method of claim 1, wherein the developing comprises applying an aqueous solvent to remove an exposed region of the photoresist layer.

7. The method according to claim 1, wherein the developing comprises applying an organic solvent to remove an unexposed region of the photoresist layer.

8. A method of manufacturing a semiconductor device, comprising:

Coating a substrate with a photoresist layer, wherein the photoresist layer comprises a polymer and a photoacid generator, wherein the photoacid generator has a polarity enhancing group bonded to each end of the photoacid generator;

Exposing the photoresist layer to extreme ultraviolet radiation to form an exposed region and an unexposed region in the photoresist layer; and

Applying a developer to the exposed region and the unexposed region of the photoresist layer.

9. A method of manufacturing a semiconductor device, comprising:

Forming a photoresist layer on a substrate, wherein the photoresist layer comprises:

A polymer;

A photoacid generator, wherein the photoacid generator is separated from the polymer; and

A polarity enhancing group bonded to the photoacid generator;

Performing an exposure process on the photoresist layer, wherein the exposure process is performed such that the polarity-enhancing group increases a polarity of the photoacid generator;

Baking the photoresist layer after exposure; and

And carrying out a developing process on the photoresist layer.

10. The method according to claim 9, wherein the polarity-enhancing group comprises a halogen group, a hydroxyl group, a carbonyl group, a carboxyl group, a carboxylate group, an ether group, an epoxy group, a nitrile group, an isocyanate group, a nitro group, a sulfide group, a sulfinyl group, a sulfonyl group, a sulfonic group, a siloxane group, a silane group, or combinations thereof.

Technical Field

embodiments of the present invention relate to a method of manufacturing a semiconductor device, and more particularly, to a method of controlling solubility of a photoresist pattern during a developing process.

Background

The semiconductor integrated circuit industry has experienced explosive growth. Advances in integrated circuit materials and design have made each generation of integrated circuits smaller and more complex than previously produced. However, these advantages increase the complexity of processing and manufacturing integrated circuits, and to realize such advances, the same advances in integrated circuit processing and manufacturing are needed.

For example, photolithography processes are widely used in Integrated Circuit (IC) manufacturing, wherein various photoresist patterns are transferred onto a workpiece to form an Integrated Circuit (IC) device. In many cases, the quality of the photoresist pattern directly affects the quality of the Integrated Circuit (IC) device being formed. As Integrated Circuit (IC) technology continues to advance toward smaller technology nodes (e.g., as small as 14 nanometers, 10 nanometers, and below), resolution, roughness (e.g., Line Edge Roughness (LER) and/or Line Width Roughness (LWR)), and/or contrast of the photoresist pattern are critical factors for the quality of the photoresist pattern. While existing etching techniques for optimizing these parameters are generally adequate, these techniques are not entirely satisfactory in all respects.

disclosure of Invention

according to an embodiment of the present invention, there is provided a method of manufacturing a semiconductor device, including: forming a photoresist layer on a substrate, wherein the photoresist layer comprises a polymer mixed with a photosensitive unit, the photosensitive unit comprises a polarity-enhancing group (PEG) bonded to a photo-acid generator (PAG), the polarity-enhancing group is configured to increase a dipole moment of the photo-acid generator; exposing the photoresist layer to a radiation; and developing the photoresist layer.

According to another embodiment of the present invention, there is provided a method of manufacturing a semiconductor device, including: coating a substrate with a photoresist layer, wherein the photoresist layer comprises a polymer and a photoacid generator (PAG), wherein the photoacid generator has a Polarity Enhancing Group (PEG) bonded to each end of the photoacid generator; exposing the photoresist layer to Extreme Ultraviolet (EUV) radiation to form an exposed region and an unexposed region in the photoresist layer; and applying a developer to the exposed and unexposed regions of the photoresist layer.

According to still another embodiment of the present invention, there is provided a method of manufacturing a semiconductor device, including: forming a photoresist layer on a substrate, wherein the photoresist layer comprises: a polymer; a photoacid generator (PAG), wherein the photoacid generator is separated from the polymer; and a Polarity Enhancing Group (PEG) bonded to the photoacid generator; performing an exposure process on the photoresist layer, wherein the exposure process is performed such that the polarity-enhancing group increases a polarity of the photoacid generator; baking the photoresist layer after exposure; and carrying out a developing process on the photoresist layer.

Drawings

Embodiments of the invention may be understood by reading the following drawings and detailed description. It is emphasized that, in accordance with the standard practice in the industry, the various features (features) of the drawings are not to scale. In fact, the dimensions of the various elements may be arbitrarily expanded or reduced for clarity of discussion.

Fig. 1 is a flow chart illustrating a method of processing an exemplary semiconductor device in accordance with aspects of embodiments of the present invention.

Fig. 2, 5, 6, 7A, 7B are partial cross-sectional side views of an example semiconductor device showing intermediate stages of the method of fig. 1, in accordance with aspects of embodiments of the present invention.

Fig. 3A, 3B are examples showing the composition of layers of material, according to aspects of embodiments of the invention.

Fig. 4, 8 are examples showing chemical structures according to aspects of embodiments of the present invention.

description of reference numerals:

100 method

102-110 operations

200 workpiece

202 substrate

204 bottom layer

206 middle layer

208 photoresist layer

210 lower layer structure

212 exposed region

214 unexposed area

216 radiation

218 photomask

222. 224 developer

240 light-sensitive cell

242 polarity-enhancing group

244 photoacid generators

246 linking group

248 positively charged moiety/cation

250 negatively charged moieties/anions

260 Polymer

262 solvent

270. 272 molecular complex

274. 276, 278, 280 dipole moments

Detailed Description

The following description provides many different embodiments or examples for implementing different features of embodiments of the invention. Examples of specific elements and arrangements thereof are described below to simplify the present embodiments. These are, of course, merely examples and are not intended to limit the scope of embodiments of the invention in any way. For example, when reference is made in the description to a first element being formed "on" or "over" a second element, it can include embodiments in which the first element is in direct contact with the second element, and can also include embodiments in which other elements are formed therebetween without direct contact. In addition, reference characters and/or designations may be reused in various embodiments of the invention. These iterations are for simplicity and clarity and are not intended to limit the particular relationship between the various embodiments and/or configurations discussed.

Furthermore, references to a feature being formed "on," connected to, "and/or coupled to" another feature of the invention may encompass embodiments in which the two features are in direct contact, and also embodiments in which additional features may be formed and interposed between the two features, such that the two features are not in direct contact. Furthermore, spatially related terms are used therein, such as: "lower," "upper," "horizontal," "vertical," "above," "below," "… below," "up," "down," "top," "bottom," and the like, as well as derivatives thereof (e.g., "horizontally," "downwardly," "upwardly," and the like), are used to facilitate describing the relationship of one element to another element in an embodiment of the invention. These spatial relationships are intended to encompass different orientations of the device in which the components are incorporated. Further, when a number or range of numbers is described by the words "about", and the like, the words are intended to cover the number within a reasonable range, including the numbers described, such as within +/-10% of the number described or other value as would be understood by one of skill in the art. For example, the term "about 5 nm" includes a size range from 4.5nm to 5.5 nm.

The photolithography process involves forming a photoresist layer on a workpiece and exposing the photoresist layer to patterned radiation. After exposure to patterned radiation, the photoresist layer is developed in a developer (in other words, a chemical solution). The developer removes a portion of the photoresist layer (e.g., an exposed portion of the positive photoresist layer or an unexposed portion of the negative photoresist layer) to form a patterned photoresist layer. The patterned photoresist layer is then often used as a mask element during subsequent processes, such as a photolithography process or an implantation process, to transfer the pattern in the patterned photoresist layer to the workpiece. Advanced lithographic materials, such as Chemically Amplified Resist (CAR) materials, have been introduced to improve the sensitivity of the photoresist layer to radiation, thereby maximizing the use of the radiation. The Sensitivity (S) generally corresponds to the amount of incident radiation (amount of energy per unit area) required to produce a sufficient chemical reaction to define a pattern in the photoresist layer. For example, Chemically Amplified Resist (CAR) materials can undergo a variety of chemical reactions when exposed to radiation, thereby chemically amplifying the reaction to the radiation, which reduces the exposure dose required to define a pattern in the resist layer. Chemically amplified photoresist (CAR) materials typically include a polymer that is resistant to Integrated Circuit (IC) processes, such as etching processes, an acid generating component, such as a photoacid generator (PAG), and a solvent component. A photoacid generator (PAG) generates an acid upon exposure to radiation, which acts as a catalyst to cause a chemical reaction to alter the solubility of exposed portions of the photoresist layer in a given developer.

While the chemically amplified photoresist (CAR) material is configured to minimize sensitivity, the chemically amplified photoresist (CAR) material must also meet other photoresist performance characteristics, such as resolution, roughness, and contrast. Resolution generally describes the ability of a photoresist material to print (image) a minimum feature size (feature) with acceptable quality and/or control, where photoresist contrast, photoresist thickness loss, proximity effects (proximity effects), expansion and/or contraction of the photoresist material (typically caused by development), and/or other photoresist characteristics and/or photoresist characteristics contribute to resolution. Photoresist contrast generally refers to the ability of a photoresist material to distinguish between light (exposed) and dark (unexposed) regions, wherein a photoresist material with a higher contrast provides better resolution, photoresist profile, and/or Line Edge Roughness (LER). Roughness, such as Line Edge Roughness (LER) and/or Line Width Roughness (LWR), generally describes whether a pattern in a photoresist layer includes edge deviations (variations), width deviations, critical dimension deviations, and/or other deviations. Line Edge Roughness (LER) generally describes the deviation of line edges, while Line Width Roughness (LWR) generally describes the deviation of line widths (e.g., the width from a Critical Dimension (CD)).

2Extreme Ultraviolet (EUV) lithography (using radiation having a wavelength in the EUV range) offers the prospect of meeting finer lithographic resolution limits, particularly for Integrated Circuit (IC) fabrication below 10nm, however, because the exposure dose and throughput requirements (e.g., number of chips per hour (WPH)) required to meet resolution, contrast, and/or roughness requirements are limited by conventional EUV light sources, Chemical Amplification Resist (CAR) materials with higher sensitivity are typically required at EUV wavelengths, since the number of photons absorbed by a volume of resist material is proportional to the wavelength and the absorbed energy is proportional to the exposure dose, so as the wavelength decreases, the total absorbed energy is dispersed (amplified) to a lesser extent by a negative developing process, as the exposure process generates a greater amount of photons than a negative resist-developing process, and thus, the photon-amplified resist (CAR) pattern may be generated by a negative developing process or may be generated by a negative developing process-a greater amount of photons than a negative resist-developing process-induced photon-induced pattern-induced by a higher-amplified resist-induced photon-induced-to-induced-by-induced photon-induced photon-induced-generation of-induced-generation of a.

Therefore, for these and other reasons, improvements are needed to control the degree of dissolution of the photoresist pattern during the photoresist development process. As shown in the examples below, controlling the degree of solubility can be achieved by adding polarity-enhancing groups to the photoresist material to adjust the solubility of the photoresist material in the developer after the exposure process.

Fig. 1 shows a flow diagram of a method 100 for processing a workpiece 200 in accordance with aspects of embodiments of the invention. Method 100 is merely an example and embodiments of the present invention are not limited to what is explicitly recited in the claims. Additional operations may be performed before, during, and after the method 100, and some of the operations described may be replaced, deleted, or moved in other embodiments of the process. Intermediate steps of the method 100 are described with reference to a partially cutaway side view of the workpiece 200 as shown in fig. 2, 5, 6, 7A, and 7B, while schematic diagrams of exemplary compositions and chemical structures are shown in fig. 3A, 3B, and 4. Some of the elements in the drawings have been simplified for clarity and ease of explanation.

Referring to fig. 1 and 2, the method 100 provides (or is provided with) an underlying structure 210 at operation 102. The underlying structure 210 may be a single layer of material having a uniform composition suitable for Integrated Circuit (IC) device fabrication, or a multi-layer structure having similar or different compositions. In the illustrated embodiment, the underlying structure 210 includes a plurality of material layers, each of which will be discussed in detail below.

The underlying structure 210 may include a substrate 202. the substrate 202 may include an elemental semiconductor, such as silicon and/or germanium, a compound semiconductor, such as silicon carbide, gallium arsenide, gallium phosphide, indium arsenide, and/or indium antimonide, an alloy semiconductor, such as SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP, a non-semiconductor material, such as soda-lime glass (soda-lime glass), fused silica (fused silica), fused quartz (fused rtquarz), and/or calcium fluoride (CaF 2), and/or other suitable materials.

the substrate 202 may include various circuit components formed thereon or therein, including, for example, Field Effect Transistors (FETs), metal-oxide semiconductor field effect transistors (MOSFETs), complementary metal-oxide semiconductor (CMOS) transistors, high voltage transistors, high frequency transistors, bipolar junction transistors (bipolar junction transistors), diodes, resistors, capacitors, inductors, varactors (varactors), other suitable devices, and/or combinations of the foregoing, in some embodiments where the substrate 202 includes a Field Effect Transistor (FET), various doped regions (e.g., source/drain regions) are formed in or on the substrate 202 depending on design requirements, the doped regions may be doped with n-type dopants such as phosphorous or arsenic, and/or p-type dopants such as boron or BF 2. the doped regions may be planar or non-planar (e.g., in fin-like field effect transistors (finlike) and may be formed in-well structures, in-situ structures, in-Field Effect Transistors (FETs), or by using epitaxial techniques.

Still referring to fig. 2, the underlying structure 210 may include an underlayer 204 (or first layer) formed on the substrate 202. In many embodiments, the bottom layer 204 is an anti-reflective coating (ARC) layer whose composition is selected to minimize the reflectivity of radiation that is imparted during exposure of a subsequently formed photoresist layer, such as the photoresist layer 208. The bottom layer 204 may be formed by spin coating an anti-reflective coating (ARC) material (e.g., amorphous carbon material) onto the top surface of the substrate 202 (or the top surface of the topmost material layer of the multi-layer substrate 202) and optionally (optionally) baking to cure.

The lower layer 210 may additionally include an intermediate layer 206 (or second layer) formed over the lower layer 204. The intermediate layer 206 may be a single layer structure or may comprise multiple layers, each layer having a different composition. In many embodiments, intermediate layer 206 has a composition that provides anti-reflective properties, hardmask properties, and/or barrier (barrier) properties for a subsequently performed photolithography process. The intermediate layer 206 may comprise a silicon-rich polymer and/or other suitable materials. Alternatively (alternatively) or additionally, the intermediate layer 206 may comprise a dielectric material, such as silicon oxide, silicon nitride, silicon carbide, silicon oxynitride, and/or other suitable materials. The intermediate layer 206 may be formed by a spin-on process and/or a deposition process similar to those described with respect to the bottom layer 204, such as Chemical Vapor Deposition (CVD), Atomic Layer Deposition (ALD), Physical Vapor Deposition (PVD), spin-on coating, other suitable processes, or a combination of the foregoing. In some embodiments, the bottom layer 204 and/or the intermediate layer 206 are omitted in the underlying structure 210. In some embodiments, the underlying structure 210 includes an additional layer of material formed over the intermediate layer 206.

Referring to fig. 1 and 2, the method forms a photoresist (resist) (e.g., photoresist) layer on the underlying structure 210 at operation 104. In the illustrated embodiment, the photoresist layer 208 is a photosensitive layer that can be patterned by an exposure process that induces a series of photochemical reactions in the photoresist layer 208. The photoresist layer 208 may comprise any suitable photosensitive photoresist material, and in many embodiments, the photoresist layer 208 comprises a photoresist material that is sensitive to radiation (e.g., UV light, Deep Ultraviolet (DUV) radiation, and/or Extreme Ultraviolet (EUV) radiation). However, the principles of embodiments of the present invention are equally applicable to e-beam photoresists and other direct-write (direct-write) photoresist materials. In the depicted embodiment, the photoresist layer 208 comprises an Extreme Ultraviolet (EUV) sensitive photoresist material. The photoresist layer 208 may comprise a single layer of material or multiple layers of material.

Referring to fig. 3A and 3B, the photoresist layer 208 may include a polymer 260, a photosensitive unit 240, and a solvent 262. In the illustrated embodiment, the photosensitive cells 240 are mixed with the polymer 260 and dissolved together in the solvent 262. In many embodiments, a plurality of photosensitive cells 240 are contained in the photoresist layer 208 and distributed throughout the photoresist layer 208. In many embodiments, polymer 260 comprises acrylate-based (acrylate-based) polymers, poly (norbomene) -maleic anhydride (COMA) polymers, poly (hydroxystyrene) -based (poly) polymers, other suitable polymers, or combinations of the foregoing, and may comprise any number of functional groups configured to aid in one or more subsequent processes. In some examples, the functional group can include a photosensitive group (e.g., a sensitizer), such as phenol (phenol), styrene (styrene), fluoride, other suitable group, or a combination of the foregoing. In some examples, the functional groups can include acid-labile groups (ALGs) configured to be cleavable by acidic moieties (e.g., acids generated by photoacid generators).

referring to fig. 3A, in some embodiments, the photosensitive unit 240 includes a polarity-enhancing group (PEG)242 bonded to a photo-acid generator (PAG) 244. In the depicted embodiment, the photoresist layer 208 includes at least 15% (by weight) of a Photo Acid Generator (PAG) 244. In many embodiments, if the amount of photoacid generator (PAG)244 is less than about 15%, upon exposure, the photoresist layer 208 may not be sufficiently chemically sensitive to affect the desired amount of change in the polymer 260. As will be discussed below, a plurality of photoacid generators (PAGs) may be included in each of the photosensitive units 240, and each of the photoacid generators (PAGs) 244 may be bonded to a plurality of Polarity Enhancing Groups (PEG) 242. In some embodiments, referring to fig. 3B, the photosensitive unit 240 includes a photoacid generator (PAG)244 bonded to a Polarity Enhancing Group (PEG)242 through a linking group 246. Linking group 246 is configured to control the separation distance between photoacid generator (PAG)244 and Polarity Enhancing Group (PEG)242, and can include any suitable structure, such as an alkyl group having 1 to 5 carbon atoms. Linking group 246 may be further configured to increase the hydrophobicity of photoacid generator (PAG)244 (i.e., decrease the affinity of photoacid generator (PAG)244 for water or aqueous solutions). In some embodiments, linking group 246 is non-polar and has no net dipole moment (i.e., the net dipole moment is zero). In embodiments where a plurality of Polarity Enhancing Groups (PEG)242 are bonded to photoacid generator (PAG)244, one or more linking groups 246 (fig. 3B and 4) may be disposed between each Polarity Enhancing Group (PEG)242 and photoacid generator (PAG) 244; alternatively, the linking group 246 may be omitted, as shown in fig. 3A.

Referring to fig. 4, a photoacid generator (PAG)244 can be an ionic compound that includes positively charged moieties 248 (hereinafter cations 248) and negatively charged moieties 250 (hereinafter anions 250) held together by ionic bonds shown in dashed lines. In the illustrated embodiment, when the cation 248 and anion 250 are ionically bonded together, the net charge of the photoacid generator (PAG)244 is zero. In many embodiments, photoacid generator (PAG)244 comprises a cation such as sulfonium (sulfonium), iodonium (iodonium), sulfonimide (sulfonimide), derivatives of the foregoing, and/or other suitable cations and an anion such as triflate (triflates), perfluorobutylsulfonate (nonaflatate), sulfonate (sulfonates), derivatives of the foregoing, and/or other suitable anions. Non-limiting examples of photoacid generator (PAG)244 include N-sulfonyloxyimide (N-sulfonoxyimide), benzoin sulfonate (benzoinsulfonate), gallnut tris sulfonate (pyrolyl trisulfonate), nitrobenzyl sulfonate (nitrobenzyl sulfonate), triphenylsulfonium perfluorosulfonate (triphenylsulfonium nonasulfonate), sulfonyldiazomethine compounds (sulfodiazomethine-based compounds), sulfone-based compounds (sulfone-based compounds), glyoxime-based compounds (glyoxime-based compounds), derivatives of the foregoing, other compounds, or combinations of the foregoing.

still referring to fig. 4, it is noted that the photoacid generator (PAG)244 may be subjected to a chemical modification process such that a plurality of Polarity Enhancing Groups (PEG)242 may be covalently attached to the photoacid generator (PAG) 244. Although in the illustrated embodiment, three polarity-enhancing groups (PEG)242 are bonded to each of the cation 248 and the anion 250, embodiments of the present invention are not limited to this configuration and may include any number of polarity-enhancing groups (PEG)242 bonded to the cation 248 and/or the anion 250. For embodiments (not shown) in which linking group 246 is bonded to photoacid generator (PAG)244 and Polarity Enhancing Group (PEG)242, additional chemical modification processes may be applied to photoacid generator (PAG)244 and Polarity Enhancing Group (PEG)242 such that they may each be configured to bond to linking group 246.

Still referring to fig. 4, in many embodiments, the Polarity Enhancing Group (PEG)242 is configured to increase the magnitude of dipole moment 274 or dipole moment 276 formed between the Polarity Enhancing Group (PEG)242 and the photoacid generator (PAG)244 (i.e., with cation 248 and anion 250, respectively). In embodiments of the present invention, "dipole moment" measures the tendency of an electron density to move (or be moved) within a chemical bond between two atoms. In many cases, one of the two atoms may affect the dipole moment of the bond if it exhibits a greater electron attractive force than the other atom. This is evident in atoms with relatively high electronegativity, which describes the tendency of an atom to attract electrons or move the electron density towards itself. When the dipole moment is greater than zero, or when the attraction of an electron is not proportional between two atoms bonded together, such a bond is considered a "polar bond," and such a bond is considered to exhibit polarity. Thus, the polarity of a polar bond is determined by the interaction between two atoms (or between functional groups to which the atoms respectively belong), rather than by the chemical nature of any one individual atom, and a larger dipole moment magnitude in the bond indicates a greater polarity between the two atoms. In the illustrated embodiment, the Polarity Enhancing Group (PEG)242 is configured to induce or increase the magnitude of dipole moment 274 and/or dipole moment 276 of a bond formed between the Polarity Enhancing Group (PEG)242 and the photoacid generator (PAG) 244.

However, in such embodiments, the polarity-enhancing group (PEG)242 need not itself exhibit a net dipole moment (i.e., polarity). If the atoms involved in the bond exhibit a magnitude greater than zero dipole moment (i.e., a polar bond is formed between two molecular structures), the molecular structure (e.g., PEG 242) (polar, or otherwise) may establish a polar bond with another molecular structure and thereby induce or increase a net dipole moment therein. In the case where the molecular structure is ionic and will subsequently separate into cations (losing at least one electron, e.g., cation 248) and anions (gaining at least one electron, e.g., anion 250), each cation and anion is more affected by the polar bond it forms with the other molecular structure. In other words, the magnitude of the dipole moment in the bond formed between a charged particle (cation or anion) and a molecular structure configured to form a polar bond therewith is greater than the magnitude of the dipole moment in the bond formed between a neutral particle and the same molecular structure.

In many embodiments, the polarity-enhancing group (PEG)242 is polar (i.e., has a net dipole moment) and is configured to form a polar bond with the photoacid generator (PAG)244, thereby inducing or increasing the net dipole moment in the photoacid generator (PAG) 244. Alternatively, the polarity-enhancing group (PEG)242 is non-polar (i.e., has zero net dipole moment), but is configured to form a polar bond with the photoacid generator (PAG)244, thereby inducing or increasing the net dipole moment in the photoacid generator (PAG) 244. Regardless of its polarity, the polarity-enhancing group (PEG)242 pulls electrons of the photoacid generator (PAG)244 toward itself (i.e., moves the electron density within the photoacid generator (PAG) 244) and effectively increases the dipole moment of the bond formed between the polarity-enhancing group (PEG)242 and the photoacid generator (PAG) 244. In a further embodiment, the Photo Acid Generator (PAG)244 is configured to undergo ion dissociation and separate into cations 248 and anions 250 during the exposure process. Thus, prior to ion dissociation, the magnitude of the dipole moment of the bond formed between the Polarity Enhancing Group (PEG)242 and the cation 248 (or anion 250) is greater than the magnitude of the dipole moment of the bond formed between the Polarity Enhancing Group (PEG)242 and the neutral photoacid generator (PAG) 244.

In some embodiments, the atom of the polarity-enhancing group (PEG)242 exhibits a greater electronegativity than the atom of the photoacid generator (PAG)244 (i.e., cation 248 and/or anion 250) to which it is bound. In many embodiments, a portion of the polarity-enhancing group (PEG)242 bonded to the photoacid generator (PAG)244 includes a halogen-containing functional group, such as a halogen-containing group (i.e., a halogen atom) that contains fluorine, chlorine, bromine, and/or iodine, a haloformyl group (haloformamyl group), other suitable halogen-containing group, or a combination thereof; an oxygen-containing functional group such as a hydroxyl group, a carbonyl group, a carboxyl group, a carboxylate group, an aldehyde group, an ester group, an ether group, a peroxy group, an epoxy group, an acetal group, an anhydride group, other suitable oxygen-containing group, or combinations of the foregoing; nitrogen-containing functional groups such as nitrile groups, isocyanate groups, nitro groups, other suitable nitrogen-containing groups, or combinations of the foregoing; a sulfur-containing functional group such as a thioether group (sulfinyl group), a sulfinyl group, a sulfonyl group, a sulfonic acid group (sulfo group), other suitable sulfur-containing groups, or combinations of the foregoing; a silicon-containing functional group, such as a siloxane group, a silane group, other suitable silicon-containing group, or a combination of the foregoing; a hydrocarbon group (hydro carbon group) such as a substituted or unsubstituted, branched or unbranched, cyclic or acyclic, saturated or unsaturated alkyl, alkenyl, or alkynyl group; and/or other suitable functional groups. In some embodiments, the polarity-enhancing group (PEG)242 includes a charge-neutral functional group. In other embodiments, the polarity-enhancing group (PEG)242 includes an ionic (i.e., charged) functional group. In some embodiments, the polarity-enhancing group (PEG)242 exhibits a net dipole moment (polarity); in other embodiments, the polarity-enhancing group (PEG)242 exhibits no net dipole moment (non-polar).

The photoresist layer 208 may additionally include a photo-decomposable base (PDB), which, as the name suggests, decomposes the basic moieties in response to radiation. In some embodiments, the photodegradable base (PDB) has a different photosensitivity (e.g., in response to radiation of a different wavelength range) than the photoacid generator (PAG) (e.g., photoacid generator 244). The photoresist layer 208 may further include a photo-base generator (PBG) that generates basic portions in response to radiation. The photoresist layer 208 can further include a photo-decomposable quencher (PDQ) configured to reduce the concentration of acidic moieties in areas where chemical changes (e.g., solubility changes) are not desired. The photoresist layer 208 may also include a number of additives, such as cross-linkers (e.g., tetramethylglycoluril linker) or epoxy linkers), surfactants, chromophores (chromophores), and/or solvents.

The photoresist layer 208 may be formed using any suitable process, such as a spin-on process. During the spin-coating process, the photoresist layer 208 in liquid form is dispersed on the top surface of the underlying structure 210 (e.g., the top surface of the topmost material layer in the underlying structure 210) by centrifugal force to a uniform or substantially (uniform) thickness. To facilitate formation of the photoresist layer 208 during the spin-on process, the photoresist layer 208 may include a solvent 260, and when the solvent 260 is removed, the photoresist layer 208 assumes a solid or semi-solid form (e.g., a film). The solvent 260 may include propylene glycol methyl ether acetate (propylene glycol methyl ether acetate), propylene glycol monomethyl ether (propylene glycol monomethyl ether), gamma-butyrolactone (gamma-butyrolactone), ethyl lactate (ethyl lactate), cyclohexanone (cyclohexanone), n-butyl acetate (n-butyl acetate), ethyl ketone (ethyl ketone), dimethylformamide (dimethylformamide), alcohols (e.g., isopropanol or ethanol), and/or other suitable solvents. As part of the spin-coating process, the solvent 260 may be driven off (e.g., evaporated) during the deposition (setting) process, and/or during the post-application/pre-exposure bake process. The pre-exposure bake process may be performed by any suitable apparatus, such as a hot plate, at any temperature suitable for the particular composition of the photoresist layer 208 and the applied solvent.

Referring to fig. 1 and 5, the method 100 exposes the photoresist layer 208 to radiation 216 at operation 106. In many embodiments, the radiation 216 may be I-line (wavelength approximately 365nm), Deep Ultraviolet (DUV) radiation such as KrF excimer laser (eximer laser) (wavelength approximately 248nm) or ArF excimer laser (wavelength approximately 193nm), Extreme Ultraviolet (EUV) radiation (wavelength between approximately 1nm and approximately 100 nm), x-ray, electron beam, ion beam, and/or other suitable radiation. The exposure process at operation 106 may be performed in air, in a liquid (immersion lithography), or in a vacuum (e.g., for EUV lithography and electron beam lithography). In the illustrated embodiment, the exposure process at operation 106 is performed using a photomask (photomask) 218. The photomask 218 may be a transmissive mask or a reflective mask, each of which may further implement resolution enhancement techniques such as phase-shifting (e.g., another phase-shifting mask, attenuated phase-shifting mask, or chromeless phase-shifting mask), off-axis illumination (OAI), and/or Optical Proximity Correction (OPC). In another embodiment, the radiation 216 is modulated directly in a predefined pattern (e.g., an IC layout) without using the photomask 218 (e.g., using e-beam direct writing). In the depicted embodiment, the radiation 216 is Extreme Ultraviolet (EUV) radiation, and the exposure process at operation 106 is performed in an Extreme Ultraviolet (EUV) lithography system. Accordingly, the photomask 218 may be a reflective photomask used to pattern the photoresist layer 208.

Referring to fig. 6, the exposure process at operation 106 forms a latent pattern on the photoresist layer 208, the photoresist layer 208 including exposed regions 212 and unexposed regions 214. The latent pattern generally refers to an exposed pattern on the photoresist layer that ultimately becomes a physical photoresist pattern when the photoresist layer is subjected to a development process. The photoresist layer 208 in the exposed regions 212 undergoes a series of photochemical reactions while the photoresist layer 208 in the unexposed regions 214 remains substantially the same as the photoresist material before exposure.

Specifically, referring to FIG. 8, upon absorption of radiation 216 at operation 206, photoacid generator (PAG)244 contained in exposure region 212 dissociates into cation 248 and anion 250, releasing an acid including proton H + (not shown), which then cleaves a protecting group (e.g., Acid Labile Group (ALG); not shown) bound to polymer 260. as a result, photosensitive cell 240 dissociates into molecular complex 270 and molecular complex 272, where molecular complex 270 includes cation 248 and molecular complex 272 includes anion 250. when cation 248 loses electrons due to dissociation of photoacid generator (PAG)244 at operation 206, it becomes more susceptible to disproportionate electron density movement caused by Polarity Enhancing Group (PEG) 242. when anion 250 acquires electrons due to dissociation of photoacid generator (PAG)244 at operation 206, it likewise becomes more susceptible to dipole moment (PAG) induced by dipole ratio electron density movement, i.e., the size of dipole moment 242 in anion 250 is increased by the photoacid generator (PAG) induced by dissociation of PAG) 244. after exposure of anion generator (PAG)244, the size of chemical complex 248 in exposure region is substantially larger than the size of cation generator (PAG) 250, thus the size of the effective charge generating group 274 in exposure region 250 remains increased by the process upon exposure to positive ion (PAG) exposure to light, thus increasing the size of cation generator (PAG) 250.

Referring to fig. 1, 7A, and 7B, the method 100 performs a developing process on the workpiece 200 at operation 108 to form a pattern on the workpiece 200. Depending on the molecular interaction between the developer and the photoresist layer, the development process applies a developer to dissolve or otherwise remove either the unexposed regions 214 (FIG. 7A) or the exposed regions 212 (FIG. 7B), as will be discussed in detail below. In some embodiments, the development process may begin with a post-exposure bake process that may catalyze the decomposition of the photoacid generator (PAG)244 and/or cleavage of the protecting groups by acid released from the photoacid generator (PAG) 244. The developing process may be performed by spraying a developer on the photoresist layer 208 through a spin-on process. Thereafter, a post-exposure bake may be performed to stabilize the pattern formed on the workpiece 200.

After the optional post-exposure bake process, the unexposed regions 214 may be removed during a Negative Tone Development (NTD) process using a developer 222 (fig. 7A), or alternatively, the exposed regions 212 may be removed during a Positive Tone Development (PTD) process using a developer 224 (fig. 7B). In the illustrated embodiment, developer 222 is a non-polar solvent comprising molecules having a zero net dipole moment, and developer 224 is a polar solvent comprising molecules having a non-zero net dipole moment. In many embodiments, the developer 222 includes an organic solvent such as n-butyl acetate (n-butyl acetate), ethanol, hexane, benzene, toluene, and/or other suitable solvents, and the developer 224 includes an aqueous solvent such as tetramethylammonium hydroxide (TMAH), potassium hydroxide (KOH), sodium hydroxide (NaOH), and/or other suitable solvents.

As described above, dissolution of either the exposed regions 212 or the unexposed regions 214 can be controlled by factors such as molecular interactions between the developer and the photoresist layer during the development process. In the illustrated embodiment, due to the lack of exposure to light in unexposed region 214, dipole moments 274 and 276 between undissociated photoacid generator (PAG)244 and Polarity Enhancing Group (PEG)242 (fig. 8) are of a weaker magnitude than dipole moments 278 and 280 between dissociated photoacid generator (PAG)244 (i.e., cation 248 and/or anion 250) and Polarity Enhancing Group (PEG)242 in exposed region 212. In other words, the polarity of each of the cations 248 and anions 250 in the exposed regions 212 of the photoresist layer 208 is greater than the polarity of the undissociated photoacid generator (PAG)244 in the unexposed regions 214. Therefore, when the developer 222 (organic solvent) is applied during the Negative Tone Development (NTD) process, the solubility (i.e., the degree of dissolution) of the exposed region 212 including the dissociated photoacid generator (PAG)244 having higher polarity becomes worse than the solubility of the unexposed region 214. Conversely, when the developer 224 (aqueous solvent) is applied during a Positive Tone Development (PTD) process, the solubility of the exposed regions 212 is better compared to the solubility of the unexposed regions 214. Therefore, by increasing the polarity of the dissociated photoacid generator (PAG)244 using the Polarity Enhancing Group (PEG)242 in the exposed region 212, the dissolution process during Negative Tone Development (NTD) and Positive Tone Development (PTD) processes can be more precisely controlled, and the integrity of the formed pattern can be improved. In other words, the Polarity Enhancing Groups (PEG)242 are configured to increase the solubility of the exposed regions 212 when the developer 224 is used and decrease the solubility of the exposed regions 212 when the developer 222 is used.

referring to fig. 1, the method 100 performs additional fabrication processes at operation 110, including, for example, transferring the pattern formed in the photoresist layer 208 to the middle layer 206 and the bottom layer 204 in one or more etching processes, and then removing the photoresist layer 208, the middle layer 206, and the bottom layer 204 after each etching process. The etching process may be performed by any suitable method, including a dry etching process, a wet etching process, other suitable etching processes, a Reactive Ion Etching (RIE) process, and/or other suitable processes. Subsequently, the substrate 202 may be processed using the patterned underlayer 204 as a mask. The substrate 202 may be processed by any suitable method, including a deposition process, an implantation process, an epitaxial growth process, other fabrication processes, or a combination of the foregoing.

In many embodiments, after operation 110, workpiece 200 may be used to fabricate integrated circuit chips, system-on-a-chips (SOCs), and/or portions thereof, and subsequent fabrication processes may thus form various passive and active microelectronic devices, such as resistors, capacitors, inductors, diodes, metal-oxide-semiconductor field-effect transistors (MOSFETs), complementary metal-oxide-semiconductor (CMOS) transistors, Bipolar Junction Transistors (BJTs), laterally diffused metal-oxide-semiconductor (LDMOS) transistors, high power MOS transistors, other types of transistors, and/or other circuit elements.

Various advantages may be present in one or more embodiments of the methods, apparatus, and compositions described herein. For example, embodiments of the present invention provide methods for improving the quality of a pattern (e.g., such as Line Edge Roughness (LER), Line Width Roughness (LWR), contrast, and/or Critical Dimension (CD) uniformity) and reducing the energy dose used during an exposure process by controlling the dissolution of an exposure pattern by a developer. In particular, embodiments of the present invention relate to a method of adjusting the polarity of a photoacid generator (PAG) by chemically modifying the photoacid generator (PAG) using at least one Polarity Enhancing Group (PEG) such that the polarity of the photoacid generator (PAG) is increased due to a dipole moment of a bond established between the photoacid generator (PAG) and the Polarity Enhancing Group (PEG) when dissociated into cations and anions after an exposure process.

In one aspect, an embodiment of the present invention provides a method for manufacturing a semiconductor device, including: forming a photoresist layer on a substrate, exposing the photoresist layer to a radiation, and developing the photoresist layer. In many embodiments, the photoresist layer includes a polymer mixed with a photoactive unit that includes a polarity-enhancing group (PEG) bonded to a photoacid generator (PAG) configured to increase the dipole moment of the photoacid generator.

In some embodiments, the exposing dissociates the photoacid generator into a positively charged moiety and a negatively charged moiety, and wherein the polarity enhancing group increases the dipole moment of one or both of the positively charged moiety and the negatively charged moiety. In further embodiments, more than one polarity-enhancing group is bonded to the photoacid generator. In some embodiments, one of the polarity enhancing groups is bonded to a negatively charged moiety and more than one of the polarity enhancing groups is bonded to a positively charged moiety. In further embodiments, the polarity-enhancing group bonded to the positively charged moiety of the photoacid generator comprises a halogen atom.

In some embodiments, the polarity-enhancing group comprises a halogen-containing functional group, an oxygen-containing functional group, a nitrogen-containing functional group, a sulfur-containing functional group, a silicon-containing functional group, or combinations thereof.

In some embodiments, the photoactive unit further comprises a non-polar linking unit disposed between the photoacid generator and the polarity-enhancing group, the non-polar linking unit comprising an alkyl group having 2 to 5 carbon atoms.

In some embodiments, the developing includes applying an aqueous solvent to remove an exposed region of the photoresist layer. In some embodiments, the developing includes applying an organic solvent to remove an unexposed region of the photoresist layer.

In another aspect, an embodiment of the present invention provides a method for manufacturing a semiconductor device, including: coating a substrate with a photoresist layer, exposing the photoresist layer to Extreme Ultraviolet (EUV) radiation to form an exposed region and an unexposed region in the photoresist layer, and applying a developer to the exposed region and the unexposed region of the photoresist layer. In many embodiments, the photoresist layer includes a polymer and a photoacid generator (PAG) having a Polarity Enhancing Group (PEG) bonded to each end of the photoacid generator.

In some embodiments, the exposing dissociates the photoacid generator into a cation and an anion, and wherein the polarity enhancing group increases the dipole moment of the cation and anion, respectively. In some embodiments, the polarity-enhancing group is configured to exhibit (display) a net dipole moment. In some embodiments, the polarity-enhancing group is configured to exhibit no (not shown) net dipole moment.

In some embodiments, the developer is an aqueous solvent and the polarity enhancing groups increase the solubility of the exposed regions of the photoresist layer in the developer. In some embodiments, the developer is an organic solvent and the polarity enhancing group reduces the solubility of the exposed region of the photoresist layer in the developer.

In yet another aspect, an embodiment of the present invention provides a method for manufacturing a semiconductor device, including: forming a photoresist layer on a substrate, performing an exposure process on the photoresist layer, performing a post-exposure bake on the photoresist layer, and performing a developing process on the photoresist layer. In many embodiments, the photoresist layer comprises: a polymer; a photoacid generator (PAG), wherein the photoacid generator is separated from the polymer; and a Polarity Enhancing Group (PEG) bonded to the photoacid generator. In many embodiments, the exposure process is conducted such that the polarity-enhancing groups increase the polarity of the photoacid generator.

In some embodiments, the polarity-enhancing group comprises a halide group, a hydroxyl group, a carbonyl group, a carboxyl group, a carboxylate group, an ether group, an epoxy group, a nitrile group, an isocyanate group, a nitro group, a sulfide group, a sulfinyl group, a sulfonyl group, a sulfonic group, a siloxane group, a silane group, or combinations thereof.

In some embodiments, the polarity-enhancing group is a first polarity-enhancing group and is bonded to a first portion of the photoacid generator that includes a second portion having a second polarity-enhancing group bonded thereto, and wherein the exposing process is performed to separate the first portion from the second portion such that each of the first polarity-enhancing group and the second polarity-enhancing group increases the polarity of each of the first portion and the second portion, respectively.

In some embodiments, the exposing comprises exposing the photoresist layer to an Extreme Ultraviolet (EUV) light source.

In some embodiments, the photoresist layer includes at least 15% by weight of the photoacid generator.

The foregoing outlines features of many embodiments so that those skilled in the art may better understand the aspects of the present embodiments. It should be appreciated by those skilled in the art that other processes and structures can be readily devised or modified based on the embodiments of the present invention to achieve the same purposes and/or to achieve the same advantages as described herein. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the invention. Various changes, substitutions, or alterations may be made to the embodiments of the present invention without departing from the spirit and scope of the invention.

22页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:抗蚀剂组合物及图案化方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类