Semiconductor device having dual gate structure, method of manufacturing the same, and electronic apparatus

文档序号:1924054 发布日期:2021-12-03 浏览:23次 中文

阅读说明:本技术 具有双栅结构的半导体器件及其制造方法及电子设备 (Semiconductor device having dual gate structure, method of manufacturing the same, and electronic apparatus ) 是由 朱慧珑 于 2021-08-27 设计创作,主要内容包括:公开了一种具有双栅结构的半导体器件及其制造方法及包括这种半导体器件的电子设备。根据实施例,半导体器件可以包括:衬底上的竖直沟道部;相对于衬底分别处于沟道部的上下两端的源/漏部;以及在沟道部在相对于衬底为横向的第一方向上第一侧的第一栅堆叠以及在沟道部在第一方向上与第一侧相对的第二侧的第二栅堆叠。第一栅堆叠靠近沟道部的一端在竖直方向上的上边缘和下边缘中的至少一个边缘与相应的源/漏部之间的距离可以小于第二栅堆叠靠近沟道部的一端在竖直方向上的上边缘和下边缘中与上述至少一个边缘相应的至少一个边缘与相应的源/漏部之间的距离。(A semiconductor device having a dual gate structure, a method of manufacturing the same, and an electronic apparatus including the same are disclosed. According to an embodiment, a semiconductor device may include: a vertical channel portion on the substrate; source/drain portions respectively located at upper and lower ends of the channel portion with respect to the substrate; and a first gate stack on a first side of the channel portion in a first direction transverse with respect to the substrate and a second gate stack on a second side of the channel portion opposite the first side in the first direction. A distance between at least one of upper and lower edges of an end of the first gate stack adjacent to the channel portion in the vertical direction and the corresponding source/drain portion may be smaller than a distance between at least one of upper and lower edges of an end of the second gate stack adjacent to the channel portion in the vertical direction corresponding to the at least one edge and the corresponding source/drain portion.)

1. A semiconductor device, comprising:

a vertical channel portion on the substrate;

source/drain portions respectively located at upper and lower ends of the channel portion with respect to the substrate; and

a first gate stack on a first side of the channel portion in a first direction transverse with respect to the substrate and a second gate stack on a second side of the channel portion opposite the first side in the first direction,

wherein a distance between at least one of upper and lower edges of one end of the first gate stack near the channel portion in the vertical direction and the corresponding source/drain portion is smaller than a distance between at least one of upper and lower edges of one end of the second gate stack near the channel portion in the vertical direction and the corresponding source/drain portion.

2. The semiconductor device of claim 1, wherein a gate length of the first gate stack is greater than a gate length of the second gate stack.

3. The semiconductor device of claim 1,

the semiconductor device is an n-type device, a threshold voltage of a portion of the channel adjacent to the first gate stack is lower than a threshold voltage of a portion of the channel adjacent to the second gate stack; or

The semiconductor device is a p-type device, and a threshold voltage of a portion of the channel adjacent to the first gate stack is higher than a threshold voltage of a portion of the channel adjacent to the second gate stack.

4. The semiconductor device of claim 1,

the semiconductor device is an n-type device, and the equivalent work function of the first gate stack is smaller than that of the second gate stack; or

The semiconductor device is a p-type device, and the equivalent work function of the first gate stack is greater than the equivalent work function of the second gate stack.

5. The semiconductor device of claim 1, wherein the gate dielectric layer in the first gate stack and the gate dielectric layer in the second gate stack comprise different materials and/or have different thicknesses.

6. The semiconductor device of claim 1, wherein the gate conductor layer in the first gate stack and the gate conductor layer in the second gate stack comprise different metal elements.

7. The semiconductor device of claim 1, wherein the first and second gate stacks are self-aligned in the first direction.

8. The semiconductor device of claim 7, wherein an offset of an upper edge of the end of the first gate stack proximate to the channel portion in the vertical direction with respect to an upper edge of the end of the second gate stack proximate to the channel portion in the vertical direction is substantially the same as an offset of a lower edge of the end of the first gate stack proximate to the channel portion in the vertical direction with respect to a lower edge of the end of the second gate stack proximate to the channel portion in the vertical direction.

9. The semiconductor device of claim 1, further comprising:

a first semiconductor layer and a second semiconductor layer spaced apart from each other in a vertical direction; and

a third semiconductor layer extending from a sidewall of the first semiconductor layer to a sidewall of the second semiconductor layer,

wherein the channel portion is formed in a portion of the third semiconductor layer between the first semiconductor layer and the second semiconductor layer in a vertical direction,

wherein the source/drain portions are formed in the third semiconductor layer on the first semiconductor layer and the sidewalls thereof and the third semiconductor layer on the second semiconductor layer and the sidewalls thereof, respectively.

10. The semiconductor device of claim 9, wherein at least one of the first semiconductor layer and the second semiconductor layer is lightly doped or substantially unintentionally doped proximate to the second gate stack.

11. The semiconductor device of claim 1, further comprising:

and a protective layer covering an end portion of the channel portion in a second direction transverse to the substrate, the second direction intersecting the first direction.

12. The semiconductor device of claim 11, further comprising:

a conductor layer electrically connecting the first gate stack and the second gate stack to each other, wherein the conductor layer surrounds the protective layer.

13. The semiconductor device according to claim 12, wherein the conductor layer is provided only on opposite sides of the channel portion in the second direction.

14. The semiconductor device of claim 11,

the first gate stack includes a first gate dielectric layer and a first gate conductor layer, the first gate dielectric layer interposed between the first gate conductor layer and the channel portion and between the first gate conductor layer and the protective layer,

the second gate stack includes a second gate dielectric layer and a second gate conductor layer, and the second gate dielectric layer is interposed between the second gate conductor layer and the channel portion and between the second gate conductor layer and the protective layer.

15. The semiconductor device of claim 1, wherein the gate dielectric layer in the first gate stack is disposed only on a first side of the channel portion and the gate dielectric layer in the second gate stack is disposed only on a second side of the channel portion.

16. The semiconductor device of claim 1, wherein the channel portion comprises a curved nanosheet or nanowire having a C-shaped cross-section.

17. The semiconductor device of claim 16, wherein the curved nanoplatelets or nanowires have a substantially uniform thickness.

18. The semiconductor device according to claim 1, wherein both ends of the channel portion in a second direction lateral to a substrate respectively exhibit an inwardly recessed C-shape, the second direction intersecting the first direction.

19. The semiconductor device of claim 1, wherein at least one of the channel portion and the source/drain portion comprises a single crystal semiconductor material.

20. The semiconductor device of claim 16, wherein there are a plurality of the semiconductor devices on the substrate, wherein the C-shapes of at least one pair of semiconductor devices face away from each other.

21. The semiconductor device of claim 20, wherein the channel portions of the respective pair of semiconductor devices are substantially coplanar.

22. A method of manufacturing a semiconductor device, comprising:

providing a stack of a first, second and third layer of material on a substrate, the stack having first and second sides opposite each other in a first direction transverse to the substrate;

recessing sidewalls of the second material layer in the first direction relative to sidewalls of the first material layer and the third material layer on the first and second sides, thereby defining a first recess;

further etching the first material layer, the second material layer and the third material layer on the first side and the second side to increase the size of the first concave part in the vertical direction;

forming a channel layer in the first recess;

forming a first gate stack in the first recess in which the channel layer is formed;

forming a strip-shaped opening in the stack extending in a second direction transverse to the substrate, the second direction intersecting the first direction, thereby dividing the stack into two parts at the first and second sides, respectively;

removing the second material layer through the opening and forming a second gate stack in a space released by the removal of the second material layer,

wherein a dimension of the first gate stack in a vertical direction is greater than a dimension of the second gate stack in the vertical direction.

23. The method of claim 22, wherein,

prior to defining the first recess, the method further comprises:

recessing sidewalls of the second material layer in the second direction relative to sidewalls of the first material layer and the third material layer on third and fourth sides of the stack opposite to each other in the second direction, thereby defining a second recessed portion; and

forming a first position-retaining layer in the second recess,

after forming the channel layer, the method further includes:

forming a second position-retaining layer in the first recess; and

forming a dopant source layer on sidewalls of the stack; and

driving dopants from the dopant source layer into the first material layer and the third material layer to form a source/drain,

wherein forming the first gate stack comprises:

removing the second position-maintaining layer; and

forming the first gate stack in a space released in the first recess due to the removal of the second position-maintaining layer.

24. The method of claim 23, further comprising:

selectively etching the first position-holding layer to release a portion of the space in the second recess while the first position-holding layer still covers an end portion of the channel layer in the second direction; and

forming a conductor layer filling a portion of the space released in the second recess to electrically connect the first gate stack and the second gate stack to each other.

25. The method of claim 23, further comprising:

controlling a degree of drive-in of dopants into the first material layer and the third material layer such that the dopants do not substantially reach portions of the first material layer and the second material layer proximate to the second gate stack.

26. The method of claim 22 wherein the channel layer is formed by selective epitaxial growth.

27. The method of claim 22, wherein the downward increasing dimension of the first recess in the vertical direction is substantially equal to the upward increasing dimension.

28. An electronic device comprising the semiconductor device according to any one of claims 1 to 21.

29. The electronic device of claim 28, comprising a smartphone, a personal computer, a tablet, a wearable smart device, an artificial smart device, a mobile power source.

Technical Field

The present disclosure relates to the field of semiconductors, and more particularly, to a semiconductor device having a dual gate structure, a method of manufacturing the same, and an electronic apparatus including such a semiconductor device.

Background

With the increasing miniaturization of semiconductor devices, devices of various structures such as fin field effect transistors (finfets), multi-bridge channel field effect transistors (MBCFETs), and the like have been proposed. However, the room for improvement of these devices in terms of increasing integration density and enhancing device performance due to the limitations of device structures is still insufficient.

In addition, vertical nanosheet or nanowire devices, such as Metal Oxide Semiconductor Field Effect Transistors (MOSFETs), have difficulty controlling the thickness or diameter of the nanosheets or nanowires due to process fluctuations such as photolithography and etching. Also, it is difficult to reduce Gate Induced Drain Leakage (GIDL). For example, for an n-type MOSFET, to reduce leakage current between the source and drain, a negative bias Vgs (< 0) may be applied between the gate and source. However, if | Vgs | is too large, GIDL may be caused. Thus, GIDL becomes a limiting factor in reducing leakage.

Disclosure of Invention

In view of the above, it is an object of the present disclosure to provide a semiconductor device having a dual gate structure, a method of manufacturing the same, and an electronic apparatus including the same.

According to an aspect of the present disclosure, there is provided a semiconductor device including: a vertical channel portion on the substrate; source/drain portions respectively located at upper and lower ends of the channel portion with respect to the substrate; and a first gate stack on a first side of the channel portion in a first direction transverse with respect to the substrate and a second gate stack on a second side of the channel portion opposite the first side in the first direction. A distance between at least one of upper and lower edges of an end of the first gate stack adjacent to the channel portion in the vertical direction and the corresponding source/drain portion may be smaller than a distance between at least one of upper and lower edges of an end of the second gate stack adjacent to the channel portion in the vertical direction corresponding to the at least one edge and the corresponding source/drain portion.

According to another aspect of the present disclosure, there is provided a method of manufacturing a semiconductor device, including: providing a stack of a first, second and third layer of material on a substrate, the stack having first and second sides opposite each other in a first direction transverse to the substrate; recessing sidewalls of the second material layer in a first direction relative to sidewalls of the first material layer and the third material layer on the first side and the second side, thereby defining a first recess; further etching the first material layer, the second material layer and the third material layer on the first side and the second side to increase the size of the first concave part in the vertical direction; forming a channel layer in the first recess; forming a first gate stack in the first recess in which the channel layer is formed; forming a strip-shaped opening in the stack extending in a second direction transverse to the substrate, the second direction intersecting the first direction, thereby dividing the stack into two parts at the first side and the second side, respectively; and removing the second material layer through the opening, and forming a second gate stack in a space released by the removal of the second material layer, wherein the size of the first gate stack in the vertical direction is larger than that of the second gate stack in the vertical direction.

According to another aspect of the present disclosure, there is provided an electronic apparatus including the above semiconductor device.

According to an embodiment of the present disclosure, a first gate stack and a second gate stack may be formed at opposite sides of a channel portion, respectively. At least one side in the vertical direction, respective edges of the first gate stack and the second gate stack may be offset with respect to each other to suppress GIDL.

Drawings

The above and other objects, features and advantages of the present disclosure will become more apparent from the following description of embodiments of the present disclosure with reference to the accompanying drawings, in which:

fig. 1 to 21(b) schematically show some stages in a flow of manufacturing a semiconductor device according to an embodiment of the present disclosure, in which: FIGS. 5(a), 6(a), 21(a) are top views, in which the positions of AA ' and CC ' lines are shown in FIG. 5(a), and the position of BB ' line is shown in FIG. 6 (a); FIGS. 1 to 4, 5(b), 6(b), 7 to 9, 10(a), 10(b), 11 to 14, 15(a), 16, 17(a), 18(a), 20(a), 21(b) are cross-sectional views along line AA'; FIG. 6(c) is a sectional view taken along line BB'; FIGS. 5(c) and 6(d) are sectional views taken along line CC'; 15(b), 17(b), 18(b), 19, 20(b) are cross-sectional views taken along line DD 'in the respective sectional views, with the location of the DD' line shown in FIG. 15 (b);

figures 22(a) and 22(b) show an energy band diagram for an n-type device according to a comparative example and an energy band diagram for an n-type device according to an embodiment of the present invention, respectively;

fig. 23(a) to 24(b) schematically show some stages in a flow of manufacturing a semiconductor device according to another embodiment of the present disclosure, in which fig. 23(a), 23(b), 24(a) and 24(b) are each a sectional view along line AA';

FIGS. 25 through 26 schematically illustrate some stages in a process for fabricating a semiconductor device according to another embodiment of the present disclosure, in which FIGS. 25 and 26 are both cross-sectional views along line AA';

figures 27(a) and 27(b) show energy band diagrams of n-type devices, respectively, according to other embodiments of the present disclosure.

Throughout the drawings, the same or similar reference numerals denote the same or similar components.

Detailed Description

Hereinafter, embodiments of the present disclosure will be described with reference to the accompanying drawings. It should be understood that the description is illustrative only and is not intended to limit the scope of the present disclosure. Moreover, in the following description, descriptions of well-known structures and techniques are omitted so as to not unnecessarily obscure the concepts of the present disclosure.

Various structural schematics according to embodiments of the present disclosure are shown in the figures. The figures are not drawn to scale, wherein certain details are exaggerated and possibly omitted for clarity of presentation. The shapes of various regions, layers, and relative sizes and positional relationships therebetween shown in the drawings are merely exemplary, and deviations may occur in practice due to manufacturing tolerances or technical limitations, and a person skilled in the art may additionally design regions/layers having different shapes, sizes, relative positions, as actually required.

In the context of the present disclosure, when a layer/element is referred to as being "on" another layer/element, it can be directly on the other layer/element or intervening layers/elements may be present. In addition, if a layer/element is "on" another layer/element in one orientation, then that layer/element may be "under" the other layer/element when the orientation is reversed.

According to an embodiment of the present disclosure, there is provided a vertical-type semiconductor device having an active region disposed vertically (e.g., in a direction substantially perpendicular to a surface of a substrate) on the substrate. The Channel portions may be vertical nanosheets or nanowires, for example curved nanosheets or nanowires that are C-shaped in cross-section (e.g., a cross-section perpendicular to the substrate surface), and thus such a device may be referred to as a C-Channel field effect transistor (C-Channel FET, i.e., CCFET). As described below, the nanoplatelets or nanowires can be formed by epitaxial growth and thus can be a unitary, monolithic piece and can have a substantially uniform thickness. The channel portion may have strain or stress in a vertical direction. Due to this strain, the lattice constant of the material of the channel portion is different from the lattice constant of the material when the material is unstrained.

The semiconductor device may further include source/drain portions respectively disposed at upper and lower ends of the channel portion. The source/drain portions may have a certain doping. For example, for a p-type device, the source/drain portion may have a p-type doping; for an n-type device, the source/drain portion may have n-type doping. The channel portion may have a certain doping to adjust the threshold voltage of the device. Alternatively, the semiconductor device may be a junction-less device in which the channel portion and the source/drain portions may have the same conductivity type doping. Alternatively, the semiconductor device may be a tunneling type device, wherein the source/drain portions at both ends of the channel portion may have opposite doping types to each other.

The source/drain portions may be provided in the respective semiconductor layers. For example, the source/drain portions may be doped regions in the respective semiconductor layers. The source/drain portion may be a portion or all of the respective semiconductor layer. In the case where the source/drain portion is part of the respective semiconductor layer, there may be a dopant concentration interface between the source/drain portion and the remainder of the respective semiconductor layer. As described below, the source/drain portion may be formed by diffusion doping. In this case, the doping concentration interface may be substantially along a vertical direction with respect to the substrate.

The channel portion may include a single crystal semiconductor material. Of course, the source/drain portions or the semiconductor layer in which they are formed may also comprise a single crystal semiconductor material. For example, they may both be formed by epitaxial growth.

The semiconductor device may further include a first gate stack and a second gate stack respectively disposed at opposite sides of the channel portion in the lateral direction. Edges of at least one side of the first and second gate stacks in a vertical direction may have an offset with respect to each other. For example, a distance between at least one of upper and lower edges of an end of the first gate stack near the channel portion in the vertical direction and the corresponding source/drain portion is smaller than a distance between at least one of upper and lower edges of an end of the second gate stack near the channel portion in the vertical direction corresponding to the at least one edge and the corresponding source/drain portion. This helps to inhibit GIDL.

Such a semiconductor device can be manufactured, for example, as follows.

According to an embodiment, a stack of a first material layer, a second material layer, and a third material layer may be disposed on a substrate. The first material layer may define a location of a lower source/drain portion, the second material layer may define a location of a gate stack, and the third material layer may define a location of an upper source/drain portion. The first material layer may be provided through a substrate, for example, an upper portion of the substrate, and the second material layer and the third material layer may be sequentially formed on the first material layer by, for example, epitaxial growth. Alternatively, the first material layer, the second material layer, and the third material layer may be sequentially formed on the substrate by, for example, epitaxial growth.

A semiconductor device may be fabricated based on the stack. The stack may include first and second sides opposite each other in a first direction and third and fourth sides opposite each other in a second direction that intersects (e.g., is perpendicular to) the first direction. For example, the stack may be quadrilateral in plan view, such as rectangular or square.

Sidewalls of the second material layer may be recessed in a first direction with respect to sidewalls of the first material layer and the third material layer at the first side and the second side of the stack to define a first recess to define a space for the first gate stack. The first recess may have a curved surface that is recessed toward an inner side of the stack. A channel portion may be formed on a surface of the first recess portion. For example, the first active layer may be formed by epitaxial growth on the exposed surface of the stack, and a portion of the first active layer on the surface of the first recess may serve as a channel portion (may also be referred to as a "channel layer"). A device may be formed based on the first active layer on the sidewalls of the first and second sides of the stack, respectively. Thus, two devices can be formed opposite to each other based on a single stack. A first gate stack may be formed in the first recess where the channel layer is formed.

The first recess may be formed such that a size of the first recess in a vertical direction may be different from (e.g., greater than) a thickness of the second material layer in the vertical direction after the first active layer is formed. In this way, a first gate stack and a second gate stack having different gate lengths may be fabricated.

Source/drain portions may be formed in the first material layer and the third material layer. For example, the source/drain portion may be formed by doping the first material layer and the third material layer. Such doping may be achieved by a solid phase dopant source layer. In forming the source/drain portion, a first position-retaining layer may be formed in the first recess portion in which the channel layer is formed, so as to avoid affecting the channel layer.

Openings may be formed in the stack to separate the active regions of the two devices. The opening may extend in the second direction, thereby dividing the stack into two portions at the first side and the second side, respectively, each having a respective channel layer. The second material layer may be replaced with a second gate stack through the opening.

Before the first concave portion is formed at the first side and the second side, a second concave portion may be similarly formed at the third side and the fourth side and a second position-maintaining layer may be formed therein. This helps to improve the topography and dimensional control of the channel layer.

According to the embodiments of the present disclosure, the thickness of the nanosheet or nanowire used as the channel portion and the gate length are mainly determined by epitaxial growth, not by etching or photolithography, and thus can have good channel size/thickness and gate length control.

The present disclosure may be presented in various forms, some examples of which are described below. In the following description, reference is made to the selection of various materials. The choice of material takes into account the etch selectivity in addition to its function (e.g., semiconductor material for forming the active region, dielectric material for forming the electrical isolation). In the following description, the required etch selectivity may or may not be indicated. It will be clear to those skilled in the art that when etching a layer of material is mentioned below, such etching may be selective if it is not mentioned that other layers are also etched or it is not shown that other layers are also etched, and that the layer of material may be etch selective with respect to other layers exposed to the same etch recipe.

Fig. 1 to 21(b) schematically show some stages in a flow of manufacturing a semiconductor device according to an embodiment of the present disclosure.

As shown in fig. 1, a substrate 1001 (the upper portion of which may constitute the first material layer described above) is provided. The substrate 1001 may be a substrate of various forms including, but not limited to, a bulk semiconductor material substrate such as a bulk Si substrate, a semiconductor-on-insulator (SOI) substrate, a compound semiconductor substrate such as a SiGe substrate, and the like. In the following description, a bulk Si substrate is described as an example for convenience of explanation. Here, a silicon wafer is provided as the substrate 1001.

In the substrate 1001, a well region may be formed. The well region may be an n-type well if a p-type device is to be formed; the well region may be a p-type well if an n-type device is to be formed. The well region may be formed, for example, by implanting a corresponding conductive type dopant (P-type dopant such As B or In, or n-type dopant such As or P) into the substrate 1001 and then performing a thermal anneal. There are many ways in the art to provide such a well region and will not be described in detail here.

On the substrate 1001, a second material layer 1003 and a third material layer 1005 may be formed by, for example, epitaxial growth. The second material layer 1003 may be used to define the location of the gate stack, for example, to a thickness of about 20nm-50 nm. The third material layer 1005 may be used to define the location of the upper source/drain, and may have a thickness of, for example, about 20nm to 200 nm.

Substrate 1001 and adjacent ones of the above layers formed thereon may have etch selectivity with respect to each other. For example, in the case where the substrate 1001 is a silicon wafer, the second material layer 1003 may include SiGe (e.g., about 10% -30 atomic percent Ge), and the third material layer 1005 may include Si.

The lateral directions x, z and the vertical direction y are schematically shown in fig. 1. The x, z directions may be parallel to the top surface of the substrate 1001 and may be perpendicular to each other; the y-direction may be substantially perpendicular to the top surface of substrate 1001. Since the top is not constrained, the stress in the y direction in the second material layer 1003 can be released. The x direction may be the first direction and the z direction may be the second direction.

According to an embodiment, a partition (spacer) graph transfer technique is used in the following composition. To form the partition, a mandrel pattern (mandrel) may be formed. For example, as shown in fig. 2, a layer 1011 for a mandrel pattern may be formed on the third material layer 1005 by, for example, deposition. For example, the layer 1011 for the mandrel pattern may include amorphous silicon or polycrystalline silicon, and have a thickness of about 50nm to 150 nm. In addition, for better etching control, the etching stop layer 1009 may be formed first by, for example, deposition. For example, the etch stop layer 1009 may comprise an oxide (e.g., silicon oxide) having a thickness of about 1nm to 10 nm.

On the layer 1011 for the core mold pattern, a hard mask layer 1013 may be formed by, for example, deposition. For example, the hard mask layer 1013 may include a nitride (e.g., silicon nitride) having a thickness of about 30nm to 100 nm.

The layer 1011 for the mandrel pattern may be patterned into a mandrel pattern.

For example, as shown in fig. 3, a photoresist 1007 may be formed on the hard mask layer 1013 and patterned into a stripe shape extending in the z direction by photolithography. The pattern of the photoresist may be transferred into the hard mask layer 1013 and the layer 1011 for the mandrel pattern by selectively etching the hard mask layer 1013 and the layer 1011 for the mandrel pattern in this order by, for example, Reactive Ion Etching (RIE) using the photoresist 1007 as an etching mask. The RIE may proceed in a substantially vertical direction and may stop at the etch stop layer 1009. After that, the photoresist 1007 may be removed.

As shown in fig. 4, partition walls 1017 may be formed on the sidewalls of the core pattern 1011 at opposite sides thereof in the x direction. For example, a layer of nitride having a thickness of about 10nm to 100nm may be deposited in a substantially conformal manner, and then the deposited nitride layer may be subjected to anisotropic etching such as RIE in a vertical direction (which may be performed in a substantially vertical direction and may stop at the etch stop layer 1009) to remove its lateral extension while leaving its vertical extension, thereby obtaining the partition 1017. The partition 1017 may then be used to define the location of the device active region.

The core pattern formed as described above and the sidewall 1017 formed on the sidewall thereof extend in the z direction. They may define their extent in the z-direction and hence the extent of the device active area in the z-direction.

As shown in fig. 5(a) to 5(c), a photoresist 1015 may be formed on the structure shown in fig. 4 and patterned by photolithography to occupy a certain range in the z direction, for example, a stripe shape extending along the x direction. The underlying layers may be selectively etched in sequence by, for example, RIE using the photoresist 1015 as an etch mask. Etching may be performed into the substrate 1001, and particularly well regions therein, to form recesses in the substrate 1001. Isolation, such as Shallow Trench Isolation (STI), may then be formed in the formed recess. Thereafter, the photoresist 1015 may be removed.

As shown in fig. 5(c), the sidewalls of the second material layer 1003 in the z-direction are currently exposed to the outside.

According to an embodiment of the present disclosure, in order to avoid affecting the sidewall of the second material layer 1003 in the z direction when the sidewall thereof in the x direction is processed (to form a recess and form a channel layer in the formed recess as described below), the sidewall of the second material layer 1003 in the z direction may be shielded.

For example, as shown in fig. 6(a) to 6(d), the second material layer 1003 may be selectively etched so that its side wall in the z direction is relatively recessed to form a recessed portion. To better control the amount of etching, Atomic Layer Etching (ALE) may be employed. For example, the amount of etching may be about 5nm-20 nm. Depending on the characteristics of the etch, such as the etch selectivity of second material layer 1003 relative to substrate 1001 and third material layer 1005, the sidewalls of second material layer 1003 may take on different shapes after the etch. In fig. 6(d), the sidewall of the second material layer 1003 is shown to be C-shaped recessed to the inner side after etching. However, the present disclosure is not limited thereto. For example, when the etching selectivity is good, the sidewalls of the second material layer 1003 after etching may be nearly vertical. Here, the etching may be isotropic, especially when a large etching amount is required. In the recess thus formed, a dielectric material may be filled. This filling may be performed by deposition followed by etch back. For example, a dielectric material, such as an oxide, sufficient to fill the recess may be deposited on the substrate, and then the deposited dielectric material may be etched back, such as RIE. In this way, the dielectric material may remain in the recess to form the first position retaining layer 1019. Prior to etch back, the deposited dielectric material may be subjected to a planarization process such as Chemical Mechanical Polishing (CMP) (the CMP may stop at the hard mask layer 1013).

According to an embodiment of the present disclosure, a certain thickness of dielectric material may be left on the substrate 1001 when etching back to form the protection layer 1021. Here, the protection layer 1021 may be in a recess of the substrate 1001 with its top surface lower than the top surface of the substrate 1001. In addition, during the etch-back, the portion of the etch stop layer 1009 (also oxide in this example) exposed to the outside may also be etched.

The protective layer 1021 may protect the surface of the substrate 1001 in the following process. For example, in this example, the extent of the active region in the z-direction is first defined. Subsequently, the extent of the active region in the x-direction will be defined. The protective layer 1021 can also avoid affecting the surface of the substrate that is currently exposed to the outside in the groove (see fig. 5(c)) when defining the extent in the x-direction. In addition, in the case where different types of well regions are formed in the substrate 1001, the protective layer 1021 can protect pn junctions between the different types of well regions from being damaged by etching.

As shown in fig. 7, the third material layer 1005, the second material layer 1003, and the upper portion (first material layer) of the substrate 1001 may be patterned into a ridge structure (in fact, the extent of the ridge structure in the z direction has been defined by the above-described process) using the hard mask layer 1013 and the partition wall 1017. For example, the hard mask layer 1013 and the partition 1017 may be used as an etching mask to selectively etch the layers in sequence by, for example, RIE, thereby transferring the pattern into the underlying layers. Thus, the upper portion of the substrate 1001, the second material layer 1003, and the third material layer 1005 may form a ridge structure. As described above, due to the presence of the protective layer 1021, etching may not affect portions of the substrate 1001 on both sides of the ridge structure in the z direction.

Here, the etch may enter the well region of substrate 1001. The extent of etching into the substrate 1001 may be substantially the same as or similar to the extent of etching into the substrate 1001 described above in connection with fig. 5(a) through 5 (c). Likewise, a groove is formed in the substrate 1001. And a protective layer may also be formed in these grooves, for example by depositing, planarizing and then etching back oxide (see 1023 in fig. 8). The protective layer 1023 surrounds the periphery of the ridge structure together with the previous protective layer 1021. In this way, similar processing conditions may be provided around the ridge structure, i.e. both the substrate 1001 with the recesses formed therein and the protective layers 1021, 1023 formed therein.

A space for the gate stack may be left at both ends of the second material layer in the x direction. For example, as shown in fig. 8, second material layer 1003 may be selectively etched such that its sidewalls in the x-direction are relatively recessed to form a recess (which may define a space for a gate stack). To better control the amount of etching, ALE may be employed. For example, the amount of etching may be about 10nm-40 nm. As described above, the sidewalls of the second material layer 1003 may exhibit a C-shape that is concave to the inner side after etching. Here, the etching may be isotropic, especially when a large etching amount is required. Generally, the C-shaped side walls of the second material layer 1003 have a greater curvature at the upper and lower ends and a lesser curvature at the waist or middle. Of course, the side walls may also be near vertical.

The first active layer may be formed on sidewalls of the ridge structure to subsequently define the channel portion. In order to offset the edges of at least one side in the vertical direction of the channel portion when gate stacks are subsequently formed on the left and right sides thereof with respect to each other, as shown in fig. 9, the ridge-like structure (specifically, the exposed surfaces of the first material layer, the second material layer, and the third material layer) may be etched back, and then the outer peripheral sidewall thereof may be recessed laterally with respect to the outer peripheral sidewall of the partition wall 1017. To control the etch depth, ALE may be used. The etch depth may be, for example, about 10nm-25 nm.

Here, the etchant may be selected so that the etching depth thereof in the vertical direction may be substantially the same for the first material layer and the third material layer.

Then, as shown in fig. 10(a), the first active layer 1025 may be formed on the sidewalls of the ridge structure by, for example, selective epitaxial growth. Due to the selective epitaxial growth, the first active layer 1025 may not be formed on the surface of the first position retaining layer 1019. The first active layer 1025 may then define a channel portion, for example, having a thickness of about 3nm-15 nm. Since the channel portion (although it may be C-shaped) extends mainly in the vertical direction, the first active layer 1025 (particularly its portion on the sidewall of the second material layer) may be electrically referred to as a (vertical) channel layer. According to an embodiment of the present disclosure, the thickness of the first active layer 1025 (which is then used as a channel portion) may be determined by an epitaxial growth process, and thus the thickness of the channel portion may be better controlled. The first active layer 1025 may be doped in-situ during epitaxial growth to adjust the threshold voltage of the device.

In fig. 10(a), a portion of the first active layer 1025 on the sidewalls of the first material layer and the third material layer is shown to be relatively thick so that the sidewalls thereof are substantially flush with the sidewalls of the partition walls 1017, for convenience of illustration only. The first active layer 1025 grown may have a substantially uniform thickness. In addition, sidewalls of portions of the first active layer 1025 on the sidewalls of the first and third material layers may be recessed with respect to sidewalls of the partition 1017, or may even protrude.

Here, the above-described etch-back of the ridge structure may etch the upper and lower ends of the recess up and down, respectively, so that after growing the first active layer 1025, the height t1 of the recess (corresponding to the gate length of the subsequently formed first gate stack) may be different from the thickness t2 of the second material layer 1003 (corresponding to the gate length of the subsequently formed second gate stack), particularly t1 may be greater than t2 in this example. In this way, the first gate stack and the second gate stack, which are then formed on the left and right sides of the first active layer 1025, respectively, may have different gate lengths. The etch recipe can be selected such that the upper and lower ends of the recess are etched by substantially the same amount up and down. Accordingly, the recesses of increased height may be self-aligned to the second material layer 1003, so that the first and second gate stacks, which are subsequently formed on the left and right sides of the first active layer 1025, respectively, may be self-aligned to each other.

The first active layer 1025 may include various semiconductor materials, for example, elemental semiconductor materials such as Si, Ge, etc., or compound semiconductor materials such as SiGe, InP, GaAs, InGaAs, etc. The material of first active layer 1025 may be appropriately selected according to the performance requirements of the design on the device. In this example, the first active layer 1025 may include Si.

In the example of fig. 10(a), the first active layers 1025 of the ridge structure on opposite sides in the x-direction may have substantially the same characteristics (e.g., material, dimensions, doping characteristics, etc.) and may be symmetrically disposed with respect to each other on opposite sides of the second material layer. However, the present disclosure is not limited thereto. As described below, with a single ridge structure, two devices can be formed opposite to each other. The first active layers 1025 on opposite sides of the ridge structure may have different characteristics, such as different in at least one of thickness, material, and doping characteristics, depending on the performance requirements of the design for the two devices. This may be achieved by masking one device region while growing the first active layer in another device region.

According to other embodiments of the present disclosure, to generate stress in the channel portion to enhance device performance, the lattice constant of the material of the first active layer 1025 when unstrained may be different from the lattice constant of the material of the second material layer 1003 when unstrained. For example, where the lattice constant of the material of second material layer 1003 when unstrained is greater than the lattice constant of the material of first active layer 1025 when unstrained, first active layer 1025 may have tensile stress therein (e.g., for an n-type device); while the lattice constant of the material of second material layer 1003 when unstrained is less than the lattice constant of the material of first active layer 1025 when unstrained, first active layer 1025 may have compressive stress therein (e.g., for a p-type device).

In the case where the first active layer 1025 comprises Si, the first active layer 1025 may have tensile stress substantially in the x-direction, since the second material layer 1003 (in this example, SiGe) is relaxed in the y-direction as described above. Different kinds and/or different levels of stress may also be achieved by different materials or combinations of materials according to other embodiments of the present disclosure.

In one example, as shown in fig. 10(b), an etch stop layer 1025a and a first active layer 1025b may be sequentially formed on the sidewalls of the ridge structure by, for example, selective epitaxial growth. The etch stop layer 1025a may define an etch stop location when the second material layer 1003 is subsequently etched (since in this example both the first active layer 1025b and the second material layer 1003 comprise SiGe, which may affect the first active layer 1025b when the second material layer 1003 is etched if the etch stop layer 1025a is not provided), for example, about 1nm-5nm thick. The first active layer 1025b may then define a channel portion, as described above, having a thickness of, for example, about 3nm-15 nm. In this example, etch stop layer 1025a may comprise Si and first active layer 1025b may comprise SiGe. To achieve compressive stress, the atomic percent of Ge in the first active layer 1025b may be greater than the atomic percent of Ge in the second material layer 1003.

Of course, other different semiconductor materials, such as III-V compound semiconductor materials, may be grown to achieve the desired strain or stress.

Hereinafter, for convenience, the case of fig. 10(a) will be described as an example.

In the recess, a first gate stack may be subsequently formed. To prevent subsequent processing from leaving unnecessary material in the recess or affecting the first active layer 1025, a second position-maintaining layer 1027 may be formed in the recess as shown in fig. 11. Likewise, second position maintaining layer 1027 can be formed by deposition followed by etch back, and can comprise a material such as SiC that has etch selectivity relative to first position maintaining layer 1019.

In fig. 11 and subsequent figures, portions of the first active layer 1025 adjacent to the third material layer 1005 are shown as being integral with the third material layer 1005 for ease of illustration.

Thereafter, source/drain doping may be performed.

As shown in fig. 12, a solid phase dopant source layer 1029 may be formed on the structure shown in fig. 11 by, for example, deposition. The solid phase dopant source layer 1029 may be formed in a substantially conformal manner. For example, the solid phase dopant source layer 1029 may be an oxide containing dopants and have a thickness of about 1nm to 5 nm. The dopants contained in the solid phase dopant source layer 1029 may be used to dope the source/drain (and optionally the exposed surface of the substrate 1001) and thus may be of the same conductivity type as the source/drain desired to be formed. For example, for a p-type device, the solid phase dopant source layer 1029 may include a p-type dopant such as B or In; for an n-type device, the solid phase dopant source layer 1029 may include an n-type dopant such As P or As. The dopant concentration of the solid phase dopant source layer 1029 may be between about 0.1% and 5%.

In this example, the protective layers 1021, 1023 may be selectively etched, such as by RIE, to expose the surface of the substrate 1001 prior to forming the solid phase dopant source layer 1029. In this way, the exposed surface of substrate 1001 may also be doped to form respective contact regions for the source/drain portions S/D at the lower ends of the two devices.

Dopants from the solid phase dopant source layer 1029 may be driven into the first and third material layers by an annealing process to form source/drain portions S/D (and optionally into the exposed surface of the substrate 1001 to form respective contact regions of the source/drain portions S/D at the lower end of the two devices), as shown in fig. 13. Thereafter, the solid phase dopant source layer 1029 may be removed.

Because the first and third material layers may be of the same material and the solid phase dopant source layer 1029 may be formed in a substantially conformal manner on their surfaces, the degree of dopant drive-in from the solid phase dopant source layer 1029 into the first and third material layers may be substantially the same. Accordingly, the (doping concentration) interfaces of the source/drain portions S/D (between the first material layer and the inner portion of the third material layer) may be substantially parallel to the sidewalls of the first material layer and the third material layer, that is, may be in a vertical direction, and may be aligned with each other.

In addition, the degree of dopant drive-in the lateral direction may be controlled such that portions of the first and third material layers proximate to the subsequently formed second gate stack (as indicated by the dashed circles in the figure) may remain low-doped (relative to the source/drain portions) or substantially unintentionally doped (e.g., dopants from the solid phase dopant source layer 1029 may not substantially penetrate into these portions). This helps prevent band-to-band tunneling due to gate voltage, and/or reduces GIDL.

The portion of the first active layer 1025 on the sidewalls of the first material layer now has substantially the same doping (forming the lower source/drain S/D) as the portion of the first material layer around it, and therefore the interface between them is not shown in the following figures for convenience of illustration.

In this example, the first material layer is provided through an upper portion of the substrate 1001. However, the present disclosure is not limited thereto. For example, the first material layer may also be an epitaxial layer on the substrate 1001. In this case, the first material layer and the third material layer may be doped in-situ at the time of epitaxy rather than being doped with a solid phase dopant source layer.

In the recess around the ridge structure, an isolation layer 1031, such as a Shallow Trench Isolation (STI), may be formed, as shown in fig. 14. The method of forming the isolation layer may be similar to the method of forming the protection layers 1021 and 1023 as described above, and thus is not described herein again.

To this end, the first position maintaining layer 1019 and the second position maintaining layer 1027 (on the outside) and the second material layer 1003 (on the inside) surround a portion of the first active layer 1025. The portion of the first active layer 1025 may function as a channel portion. The channel portion may be a curved nanosheet in a C-shape (which may become a nanowire when the nanosheet is narrow, for example, when the dimension in the direction perpendicular to the plane of the paper, i.e., the z-direction, is small in fig. 14). Due to the high etch selectivity of the second material layer 1003(SiGe) with respect to the first active layer 1025(Si) when etching, the thickness of the channel portion (thickness, or diameter in case of a nanowire) is substantially determined by the selective growth process of the first active layer 1025. This has a great advantage over techniques that use only etching or photolithography to determine the thickness, since the epitaxial growth process has much better process control than etching or photolithography. This also provides better stress control.

Gate stacks may be formed at both sides of the channel portion, respectively.

For example, as shown in fig. 15(a) and 15(b), the second position holding layer 1027 (SiC in this example) may be removed by selective etching. The first position holding layer 1019 (in this example, oxide) may remain. Thus, the space occupied by the second position maintaining layer 1027 may be released and a portion of the first active layer 1025 may be exposed. In the released space, a first gate stack may be formed. For example, the gate dielectric layer 1037 can be formed in a substantially conformal manner by deposition and the gate conductor layer 1039 can be formed on the gate dielectric layer 1037. By depositing and then etching back, the gate conductor layer 1039 can substantially occupy the space where the second position maintaining layer 1027 was previously located. The gate dielectric layer 1037 may also be anisotropically etched, such as by RIE in the vertical direction, to expose the hard mask layer 1013 for subsequent processing.

For example, the gate dielectric layer 1037 may comprise a high-k gate dielectric such as HfO2For example, the thickness is about 2nm to 10 nm. An interfacial layer, such as an oxide formed by an oxidation process or deposition such as Atomic Layer Deposition (ALD), may also be formed to a thickness of about 0.3nm to 1.5nm prior to forming the high-k gate dielectric. The gate conductor layer 1039 may include a work function adjusting metal such as TiN, TaN, TiAlC, etc., and a gate conductive metal such as W, etc.

In addition, when the second position holding layer 1027 is removed, the first active layer 1025 is held by the second material layer 1003 on the inner side, so that the stress therein can be suppressed from being released.

Next, the inside of the channel portion may be processed. As shown in fig. 15(b), when the inner side of the channel portion is processed, the first active layer 1025 is held by the gate dielectric layer 1037 and the gate conductor layer 1039 on the outer side, so that the stress therein can be suppressed from being released.

In order to provide an etch stop layer and to avoid affecting the already formed first gate stack on the outside when processing the inside, an etch stop layer or protection layer 1033 may be formed on the isolation layer 1031, as shown in fig. 16. The etch stop or protection layer 1033 may be formed in a substantially conformal manner and may comprise a material such as SiC having a desired etch selectivity (e.g., as is apparent from subsequent selective etch operations with respect to the gate stack, the isolation layer, the first through third material layers, etc.).

Over the etch stop layer or protective layer 1033, a dielectric material 1035, such as an oxide, may be formed by deposition. The dielectric material 1035 helps open the process channel to the inside. For example, a planarization process such as CMP may be performed to remove the hard mask layer 1013 to expose the mandrel pattern 1011. During the planarization process, the height of the partition 1017 may be reduced. Then, the mandrel pattern 1011 may be removed by selective etching such as wet etching using TMAH solution or dry etching using RIE. Thus, a pair of partition walls 1017 extending opposite to each other are left on the ridge structure (the height is reduced, and the top topography may be changed).

The etch stop layer 1009, the third material layer 1005, the second material layer 1003, and the upper portion of the substrate 1001 may be selectively etched in sequence by, for example, RIE, using the partition walls 1017 and the dielectric material 1035 as an etch mask. The etch may be performed into the well region of substrate 1001. Thus, in the space surrounded by the isolation layer 1031, the third material layer 1005, the second material layer 1003 and the upper portion of the substrate 1001 form a pair of stacks corresponding to the partition 1017 to define an active region.

Of course, forming the stack for defining the active region is not limited to the partition wall pattern transfer technique, and may be performed by photolithography using photoresist or the like.

Then, as shown in fig. 17(a) and 17(b), the second material layer 1003(SiGe in this example) may be removed by selective etching with respect to the first active layer 1025, the substrate 1001, and the third material layer 1005 (Si in this example). Thus, the inner side of the channel portion is exposed. At this time, the channel portion is held by the first gate stack on the outside, so that the stress therein can be suppressed from being released.

In the case shown in fig. 10(b), the selective etching of the second material layer 1003 may stop at the etch stop layer 1025a, and the etch stop layer 1025a may be further removed to expose the first active layer 1025 b. Alternatively, the etch stop layer 1025a may remain, as the Si etch stop layer 1025a helps to improve the gate-dielectric interface characteristics.

Similarly, a second gate stack may be formed on the inner side.

Before forming the second gate stack, an isolation layer may be formed at the inner side. For example, as shown in fig. 17(a) and 17(b), the spacer layer may be formed on the inner side by deposition (and planarization) and then etch back. For example, the isolation layer may comprise oxide and is thus shown as 1031 along with the previous isolation layer 1031 and dielectric material 1035 (also etched back together). The top surface of the isolation layer 1031 may be lower than the top surface of the first material layer (i.e., the top surface of the substrate 1001) or the bottom surface of the second material layer. The gate dielectric layer 1037 ' can be formed in a substantially conformal manner by deposition and the gate conductor layer 1039 ' can be formed on the gate dielectric layer 1037 '. By depositing and then etching back, the gate conductor layer 1039' may substantially occupy the space previously occupied by the second material layer 1003.

Similarly, the gate dielectric layer 1037' may also include a high-k gate dielectric such as HfO2For example, the thickness is about 2nm to 10 nm. An interfacial layer, such as an oxide having a thickness of about 0.3nm to 1.5nm, may also be formed prior to forming the high-k gate dielectric.

To optimize device performance, the gate dielectric layer 1037' may have different performance parameters (e.g., material, thickness, etc.) than the gate dielectric layer 1037.

Similarly, the gate conductor layer 1039' may include a work function adjusting metal such as TiN, TaN, TiAlC, etc., and a gate conductive metal such as W, etc. To optimize device performance, the gate conductor layer 1039' can have different performance parameters (e.g., material, equivalent work function, etc.) than the gate conductor layer 1039. For example, the gate conductor layer 1039 and the gate conductor layer 1039' may include metal elements different from each other.

According to an embodiment of the present disclosure, the threshold voltages (Vt) caused by the first gate stack (1037/1039) and the second gate stack (1037 '/1039') may be different from each other. For example, for an n-type device, the Vt of the portion of the channel near the first gate stack may be lower than the Vt of the portion of the channel near the second gate stack; and for a p-type device, the Vt of the portion of the channel near the first gate stack may be higher than the Vt of the portion of the channel near the second gate stack.

According to an embodiment of the present disclosure, equivalent work functions of the first gate stack (1037/1039) and the second gate stack (1037 '/1039') may be different from each other. For example, for an n-type device, the equivalent work function of the first gate stack may be less than the equivalent work function of the second gate stack (e.g., the second gate stack comprises Ti, the first gate stack comprises Al); and for a p-type device, the equivalent work function of the first gate stack may be greater than the equivalent work function of the second gate stack (e.g., the second gate stack comprises Al and the first gate stack comprises Ti).

To this end, the fabrication of devices has been substantially completed. As shown in fig. 17(a) and 17(b), the device includes a vertical channel portion, which may have a curved shape such as a C-shape. On one side of the channel portion in a lateral direction (e.g., x-direction), a first gate stack having a first gate length (t1) may be formed; and a second gate stack having a second gate length (t2) may be formed on one side of the channel portion in a lateral direction (e.g., x-direction). As described above, the first gate length and the second gate length may be different, and particularly, the first gate length may be greater than the second gate length. Accordingly, a distance between an edge of the first gate stack in a vertical direction (e.g., y-direction) and the source/drain portion may be smaller than a distance between an edge of the second gate stack in a vertical direction (e.g., y-direction) and the source/drain portion. The first and second gate stacks may be self-aligned to each other, e.g., their respective centers in a vertical direction (e.g., y-direction) may be aligned in a lateral direction (e.g., x-direction).

Here, the first gate stack and the second gate stack are electrically isolated from each other. They may be electrically connected to each other by an interconnect structure formed in a back-end-of-line (BEOL) process.

According to another embodiment of the present disclosure, the first gate stack and the second gate stack may be electrically connected in the following manner to save an area.

In the state shown in fig. 17(a) and 17(b), the gate conductor layer 1039 formed outside is surrounded by other layers (e.g., gate dielectric layers 1037, 1037', a protective layer 1033, a first position retaining layer 1019). In order to enable the gate conductor layers on the inner and outer sides of the channel portion to be electrically connected to each other, at least a part of the sidewall of the gate conductor layer 1039 (particularly in the z direction) may be exposed.

For this reason, as shown in fig. 18(a) and 18(b), the gate dielectric layer 1037', the protective layer 1033, and the gate dielectric layer 1037 may be selectively etched in sequence by, for example, RIE. Thus, the first position retaining layer 1019 may be exposed. The first position maintaining layer 1019 may be selectively etched to release a portion of the space it occupies. In the released space, a conductor may then be formed to electrically connect the first gate stack and the second gate stack. To control the amount of etching of the first position retaining layer 1019, ALE may be employed. The remaining first position retaining layer 1019 may protect the channel portion (particularly, the end portion in the z direction), and thus may be referred to as a protective layer. Thereafter, the gate dielectric layer 1037 'and the gate dielectric layer 1037 may be further selectively etched, such as RIE, to expose at least a portion of the sidewalls of the gate conductor layers 1039, 1039' in the z-direction.

On the isolation layer 1031, a conductor layer 1041 may be formed by deposition. The conductive layer 1041 may be subjected to a planarization process such as CMP, which may stop at the partition 1017. Then, the conductor layer 1041 may be etched back so that its top surface is lower than the bottom surface of the upper source/drain portion (or, the top surface of the second material layer or the bottom surface of the third material layer) to avoid a short circuit between the conductor layer 1041 and the source/drain portion. The conductor layer 1041 may fill a space released due to the selective etching of the first position retaining layer 1019. The gate conductor layers 1039 and 1039' may be electrically connected to each other through the conductor layer 1041.

Currently, the two devices are electrically connected to each other due to the conductor layer 1041. The conductor layer 1041 may be disconnected between the two devices by, for example, photolithography according to the device design, while the landing pad (landing pad) of the gate contact may also be patterned.

As shown in fig. 19, a photoresist 1043 may be formed and patterned to shield a region of the landing pad where the gate contact is to be formed, while exposing other regions. Here, the photoresist 1043 may cover a portion of the conductor layer 1041 exposed by the partition 1017 on one side (upper side in fig. 19) of the partition 1017 in the z direction, so that the conductor layer 1041 may continuously extend between the gate conductor layers 1039, 1039' on both the inside and outside of the channel portion on this side.

Then, as shown in fig. 20(a) and 20(b), the conductor layer 1041 may be selectively etched, for example, by RIE, using the photoresist 1043 (and the partition walls 1017) as a mask. After that, the photoresist 1043 may be removed. Here, the gate conductor layers 1039 and 1039' may also be etched by the etchant used to etch the conductor layer 1041.

Thus, the gate conductor layers 1039 and 1039' and the conductor layer 1041 are substantially left and self-aligned under the partition wall 1017 except that the conductor layer 1041 protrudes a portion on one side (upper side in fig. 20 (b)) of the partition wall 1017 to serve as a landing pad. The conductor layer 1041 is separated between two opposing devices respectively under the opposing partition walls 1017.

As shown in fig. 20(b), on one side of the channel portion in the x direction, there is a first gate stack (1037/1039); on the other side of the channel portion opposite in the x-direction, there is a second gate stack (1037 '/1039'). The first gate stack and the second gate stack may be electrically connected to each other through a conductor layer 1041. Both ends of the channel portion in the z direction are covered with the first position retaining layer 1019 (i.e., a protective layer).

In this example, the landing pads of the respective two devices are located on the same side (upper side in fig. 20 (b)) of the opposing partition 1017. However, the present disclosure is not limited thereto. For example, the landing pads of the two devices may be located at different locations.

Subsequently, various contacts, interconnect structures, and the like may be fabricated.

For example, as shown in fig. 21(a) and 21(b), a dielectric layer 1043 may be formed on the substrate by, for example, deposition and then planarization. Then, a contact hole may be formed and filled with a conductive material such as metal to form a contact portion 1045. The contacts 1045 may include contacts connected to upper source/drain portions through the partition 1017 and the etch stop layer 1009, contacts connected to contact regions of lower source/drain portions through the dielectric layer 1043 and the isolation layer 1031, and contacts connected to landing pads of the conductor layer 1041 through the dielectric layer 1043.

Fig. 22(a) and 22(b) show an energy band diagram of an n-type device according to a comparative example and an energy band diagram of an n-type device according to an embodiment of the present invention, respectively.

As shown in fig. 22(a), in the n-type device according to the comparative example, a source region S and a drain region D (the source region S and the drain region D may be interchanged, and thus they may be collectively referred to as source/drain regions) may be defined by n-type doping in an active region. The channel region CH may be formed between the source region S and the drain region D. A first gate stack FG (which may be referred to as a front gate) may be formed at one side of the channel region CH, and a second gate stack BG (which may be referred to as a back gate) may be formed at the other side. Typically, the first gate stack FG and the second gate stack BG may have the same gate length and be substantially aligned on opposite sides of the channel region CH. Due to this arrangement, on the drain region D side, the band gap (as indicated by the double-headed arrow in the figure) can become small, and therefore electrons are liable to tunnel, resulting in GIDL.

As shown in fig. 22(b), in an n-type device according to an embodiment of the present disclosure, an edge of the first gate stack FG is at a greater distance from an adjacent source/drain region S or D than a corresponding edge of the second gate stack BG. Due to such positional shift, the band gap can be increased relative to the case shown in fig. 22(a), electrons are relatively difficult to tunnel, and thus GIDL can be suppressed.

Figures 22(a) and 22(b) illustrate the principle of the disclosed embodiments of the present invention to suppress GIDL, using an n-type device as an example. The same is true for p-type devices.

In the above embodiments, the device has substantially the same or similar configuration on the source region side and the drain region side. However, the present disclosure is not limited thereto. From the viewpoint of suppressing GIDL, the concept of the present invention can be applied to the drain region side.

Fig. 23(a) to 24(b) schematically show some stages in a flow of manufacturing a semiconductor device according to another embodiment of the present disclosure. The following mainly describes differences of this embodiment from the above-described embodiment.

As shown in fig. 23(a), a substrate 1001 may be provided as described above, and a well region may be formed therein. On the substrate 1001, a first material layer 1002, a second material layer 1003, and a third material layer 1005 may be formed by, for example, epitaxial growth. The first material layer 1002 may be used to define a lower end sourceThe thickness of the drain portion is, for example, about 20nm to 200 nm. The first material layer 1002 may be in-situ doped during growth, and the doping concentration may be about 1E19-1E21cm-3

Substrate 1001 and adjacent ones of the above layers formed thereon may have etch selectivity with respect to each other. For example, in the case where the substrate 1001 is a silicon wafer, the first material layer 1002 may include Si.

As for the second material layer 1003 and the third material layer 1005, the description in the above embodiment may be referred to.

Alternatively, as shown in fig. 23(b), the substrate 1001 may be provided as described above, and a well region may be formed therein. On the substrate 1001, a second material layer 1003 and a third material layer 1005 may be formed by, for example, epitaxial growth. Unlike the above embodiments, the third material layer 1005 may be doped in-situ during growth, and the doping concentration may be about 1E19-1E21cm-3

Thereafter, the process may be performed as in the above examples.

Starting from the stack shown in fig. 23(a), a device as shown in fig. 24(a) can be obtained. Unlike the above embodiments in which the portions of the first material layer and the third material layer adjacent to the second gate stack may be low-doped (relative to the source/drain portion) or substantially not intentionally doped, only the portion of the third material layer adjacent to the second gate stack may be low-doped or substantially not intentionally doped (as shown by the dashed circle in the figure), and the portion of the first material layer adjacent to the second gate stack may be heavily doped (and thus may be a part of the source/drain portion). In this example, the upper source/drain portion may become the drain.

Further, from the stack shown in fig. 23(b), a device as shown in fig. 24(b) can be obtained. Similarly, only the portion of the first material layer adjacent to the second gate stack may be low doped or substantially not intentionally doped (as indicated by the dashed circle in the figure), while the portion of the third material layer adjacent to the second gate stack may be heavily doped (and thus may be part of the source/drain). In this example, the lower source/drain portion may become a drain.

Fig. 27(a) shows an energy band diagram of an n-type device according to this embodiment. The gate stacks FG and BG of fig. 27(a) may be the same as that shown in fig. 22(b), except that at the channel portion side (specifically, the source S side), the source/drain doping (in the case of an n-type device, the n-type heavy doping) may extend to the edge of the second gate stack BG. It can be seen that on the drain D side, the benefit of the bandgap increase to suppress GIDL can still be maintained; meanwhile, at the source S side, external resistance may be reduced and performance may be improved due to source/drain doping profile.

Fig. 25 to 26 schematically show some stages in a flow of manufacturing a semiconductor device according to another embodiment of the present disclosure.

In the above embodiment, in the etch-back process described in conjunction with fig. 9, the depth to which the recess is etched back upward and downward may be substantially the same. In contrast, in the present embodiment, as shown in fig. 25, the depth to which the recessed portion is etched back upward and downward may be different. This may be achieved by selecting the materials of the first and third material layers, selecting an etch recipe, etc. Only the case where the depth of the upward etch-back is greater than that of the downward etch-back is shown in fig. 25, but it is also possible that the depth of the downward etch-back is greater than that of the upward etch-back.

Then, as shown in fig. 26, a first active layer 1025' may be formed by, for example, selective epitaxial growth. Similarly, after growing the first active layer 1025, the height t1 'of the recess may be different from the thickness t2 of the second material layer 1003, and particularly t 1' may be greater than t 2. In this example, the distance of the upper end of thickness t1 'relative to the upper end of thickness t2 may be greater than the distance of the lower end of thickness t 1' relative to the lower end of thickness t2 (the distance may even be zero). Of course, according to other embodiments, the distance of the lower end of thickness t1 'relative to the lower end of thickness t2 may be greater than the distance of the upper end of thickness t 1' relative to the upper end of thickness t2 (the distance may even be zero).

Thereafter, the process may be performed as in the above examples. In the device thus obtained, at one end of the channel portion in the vertical direction, the distance between the edge of the first gate stack and the adjacent source/drain portion is smaller than the distance between the edge of the second gate stack and the adjacent source/drain portion (which may become the drain); and at the other end of the channel portion in the vertical direction, an edge of the first gate stack and an edge of the second gate stack may be relatively close to each other, and may be even aligned in the lateral direction (x-direction).

Fig. 27(b) shows an energy band diagram of the n-type device according to this embodiment. In this embodiment, the edges of the first gate stack FG and the second gate stack BG, respectively, on the side of the source S may be less offset (or even aligned) with respect to each other, and the edges on the side of the drain D may be more offset with respect to each other, in particular the edge of the first gate stack FG may be closer to the doping profile of the drain D than the edge of the second gate stack BG. It can be seen that on the drain D side, the benefit of the bandgap increase and hence GIDL can still be maintained.

In the above embodiment, the first gate stack and the second gate stack are electrically connected to each other through the conductor layer 1041, and may receive the same electrical signal through a contact to the conductor layer 1041. However, the present disclosure is not limited thereto. For example, the conductor layer 1041 may not be formed to electrically connect them to each other, and the first gate stack and the second gate stack may be applied with different electrical signals, respectively.

In the above embodiment, two devices are formed based on a single ridge structure. This is advantageous in simplifying manufacture. However, the present disclosure is not limited thereto. For example, a single device may be formed based on a single ridge structure. In this case, the single ridge structure may be similar to the stacked portion below the above single partition 1017, and the processing for the single ridge structure is similar to the processing for the stacked portion, except that the side wall of the single ridge structure on the side of the hard mask layer 1013 or the core pattern may be masked with an additional material layer when the outside of the channel portion is processed.

The semiconductor device according to the embodiment of the present disclosure can be applied to various electronic devices. For example, an Integrated Circuit (IC) may be formed based on such a semiconductor device, and an electronic apparatus may be constructed therefrom. Accordingly, the present disclosure also provides an electronic device including the above semiconductor device. The electronic device may also include components such as a display screen that cooperates with the integrated circuit and a wireless transceiver that cooperates with the integrated circuit. Such electronic devices are for example smart phones, computers, tablets (PCs), wearable smart devices, mobile power supplies etc.

According to an embodiment of the present disclosure, there is also provided a method of manufacturing a system on chip (SoC). The method may include the above method. In particular, a variety of devices may be integrated on a chip, at least some of which are fabricated according to the methods of the present disclosure.

In the above description, the technical details of patterning, etching, and the like of each layer are not described in detail. It will be appreciated by those skilled in the art that layers, regions, etc. of the desired shape may be formed by various technical means. In addition, in order to form the same structure, those skilled in the art can also design a method which is not exactly the same as the method described above. In addition, although the embodiments are described separately above, this does not mean that the measures in the embodiments cannot be used in advantageous combination.

The embodiments of the present disclosure have been described above. However, these examples are for illustrative purposes only and are not intended to limit the scope of the present disclosure. The scope of the disclosure is defined by the appended claims and equivalents thereof. Various alternatives and modifications can be devised by those skilled in the art without departing from the scope of the present disclosure, and such alternatives and modifications are intended to be within the scope of the present disclosure.

39页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:一种扩散型高压快速软恢复二极管及其制造方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!