Mutual inductance filter

文档序号:663649 发布日期:2021-04-27 浏览:29次 中文

阅读说明:本技术 互感滤波器 (Mutual inductance filter ) 是由 苏尼尔·卡普尔 亚伦·洛根 金亨俊 亚思万斯·兰吉内尼 卡尔·利泽 于 2016-10-13 设计创作,主要内容包括:本发明涉及互感滤波器。描述了用于从提供给负载的信号滤除射频(RF)功率的互感滤波器。所述互感滤波器包括连接到所述负载的第一负载元件的第一部分,其用于从提供给所述第一负载元件的所述信号中的一个滤除RF功率。所述负载与等离子体室的基座相关联。所述互感滤波器进一步包括连接到所述负载的第二负载元件的第二部分,其用于从提供给所述第二负载元件的所述信号中的另一个滤除RF功率。所述第一和第二部分彼此缠绕以彼此相互耦合,以进一步促进与所述第一部分相关联的谐振频率耦合到所述第二部分。(The invention relates to a mutual inductance filter. A mutual inductance filter for filtering Radio Frequency (RF) power from a signal provided to a load is described. The mutual inductance filter includes a first portion of a first load element connected to the load for filtering RF power from one of the signals provided to the first load element. The load is associated with a pedestal of a plasma chamber. The mutual inductance filter further includes a second portion of a second load element connected to the load for filtering RF power from another of the signals provided to the second load element. The first and second portions are wound around one another to couple to one another to further facilitate coupling of a resonant frequency associated with the first portion to the second portion.)

1. A mutual inductance filter comprising:

a first circuit configured to be coupled to a first load element, wherein the first circuit comprises:

a first inductor having a first end and a second end; and

a first frequency filter coupled to a first end of the first inductor and a second end of the first inductor;

a second inductor having a first end and a second end, wherein the first ends of the first and second inductors are each configured to be coupled to a first power source; and

a second circuit configured to be coupled to a second load element, wherein the first inductor, the second inductor, and portions of the second circuit are wound around each other to enable a first resonant frequency associated with the first circuit to be transferred to the second circuit.

2. The mutual inductance filter of claim 1, wherein the first load element is a heater and the second load element is a heater, wherein the heaters of the first and second load elements are each embedded within a pedestal of a plasma chamber.

3. The mutual inductance filter of claim 1, wherein a second end of the first inductor is configured to be coupled to the first load element, wherein a second end of the second inductor is configured to be coupled to the first load element.

4. The mutual inductance filter of claim 1, wherein the first frequency filter is a first capacitor, wherein the second circuit includes a second capacitor, and the portion of the second circuit includes a first inductor and a second inductor, wherein the first inductor of the second circuit has a first end and a second end, wherein the second capacitor is coupled to the first end and the second end of the first inductor of the portion of the second circuit.

5. The mutual inductance filter of claim 4, wherein the first and second inductors of the first circuit and the first and second inductors of the portion of the second circuit are wound around each other to form one entity.

6. The mutual inductance filter of claim 1, wherein the portion of the second circuit comprises a first inductor having a first end and a second inductor having a first end and a second end, wherein the first end of the first inductor of the second circuit is configured to be coupled to a second power source and the second end of the first inductor of the second circuit is configured to be coupled to the second load element.

7. The mutual inductance filter of claim 6, wherein a first end of the second inductor of the second circuit is configured to be coupled to the second power source and a second end of the second inductor of the second circuit is configured to be coupled to the second load element.

8. The mutual inductance filter of claim 1, wherein the first frequency filter is a capacitor, wherein the second circuit comprises a second frequency filter, wherein the second frequency filter is a capacitor, wherein the first resonant frequency is a resonant frequency of the capacitor and the first inductor.

9. The mutual inductance filter of claim 1, wherein the first inductor, the second inductor, and the portion of the second circuit are wound around one another to enable a second resonant frequency associated with the second circuit to be transferred to the first circuit.

10. A mutual inductance filter comprising:

a first circuit configured to be coupled to a first thermocouple, wherein the first circuit comprises:

a first inductor having a first end and a second end; and

a first frequency filter coupled to a first end of the first inductor and a second end of the first inductor;

a second inductor having a first end and a second end, wherein the first ends of the first and second inductors are each configured to be coupled to a temperature controller; and

a second circuit configured to be coupled to a second thermocouple, wherein the first inductor, the second inductor, and portions of the second circuit are wound about one another in a length direction to transfer a first resonant frequency associated with the first circuit to the second circuit.

11. The mutual inductance filter of claim 10, wherein the first thermocouple and the second thermocouple are in contact with a pedestal of a plasma chamber.

12. The mutual inductance filter of claim 10, wherein a second end of the first inductor is configured to be coupled to the first thermocouple, wherein a second end of the second inductor is configured to be coupled to the first thermocouple.

13. The mutual inductance filter of claim 10, wherein the first frequency filter is a first capacitor, wherein the second circuit includes a second capacitor, and the portion of the second circuit includes a first inductor and a second inductor, wherein the first inductor of the second circuit has a first end and a second end, wherein the second capacitor is coupled to the first end and the second end of the first inductor of the portion of the second circuit.

14. The mutual inductance filter of claim 10, wherein the portion of the second circuit comprises a first inductor having a first end and a second inductor having a first end and a second end, wherein the first end of the first inductor of the second circuit is configured to be coupled to a second temperature controller and the second end of the first inductor of the second circuit is configured to be coupled to the second thermocouple.

15. The mutual inductance filter of claim 10, wherein the portion of the second circuit comprises a first inductor and a second inductor, wherein the first and second inductors of the first circuit and the first and second inductors of the second circuit are wound lengthwise to enable a second resonant frequency associated with the second circuit to be transferred to the first circuit.

16. A mutual inductance filter comprising:

a first circuit configured to be coupled to a motor, wherein the first circuit comprises:

a first inductor having a first end and a second end; and

a first frequency filter coupled to a first end of the first inductor and a second end of the first inductor;

a second inductor having a first end and a second end, wherein the first ends of the first and second inductors are each configured to be coupled to a power source; and

a second circuit configured to be coupled to the motor, wherein the first inductor, the second inductor, and portions of the second circuit are wound around each other to enable a first resonant frequency associated with the first circuit to be transferred to the second circuit.

17. The mutual inductive filter of claim 16, wherein the motor is coupled to a pedestal of a plasma chamber to rotate the pedestal.

18. The mutual inductance filter of claim 16, wherein a second end of the first inductor is configured to be coupled to the motor, wherein a second end of the second inductor is configured to be coupled to the motor.

19. The mutual inductance filter of claim 16, wherein the first frequency filter is a first capacitor, wherein the second circuit includes a second capacitor, and the portion of the second circuit includes a first inductor and a second inductor, wherein the first inductor of the second circuit has a first end and a second end, wherein the second capacitor is coupled to the first end and the second end of the first inductor of the portion of the second circuit.

20. The mutual inductance filter of claim 16, wherein the portion of the second circuit comprises a first inductor having a first end and a second inductor having a first end and a second end, wherein the first end of the first inductor of the second circuit is configured to be coupled to the power source and the second end of the first inductor of the second circuit is configured to be coupled to the motor.

21. The mutual inductance filter of claim 20, wherein a first end of the second inductor of the second circuit is configured to be coupled to the power source and a second end of the second inductor of the second circuit is configured to be coupled to the motor.

22. The mutual inductance filter of claim 16, wherein the first frequency filter is a first capacitor, wherein the second circuit includes a first inductor, a second inductor, and a second frequency filter, wherein the second frequency filter is a second capacitor, wherein the first resonant frequency is a resonant frequency of the first capacitor and the first inductor, wherein the first inductor and the second inductor of the first circuit and the first inductor and the second inductor of the second circuit are intertwined to enable transfer of a second resonant frequency associated with the second circuit to the first circuit.

Technical Field

The present embodiments relate to a mutual inductance filter for use in a plasma processing system.

Background

Generally, process reactors are used to perform processing operations on wafers (e.g., silicon wafers). These wafers are typically processed multiple times in various reactors to form integrated circuits thereon. Some of these processing operations include, for example, depositing materials on selected surfaces or layers of the wafer. One such reactor is a Plasma Enhanced Chemical Vapor Deposition (PECVD) reactor.

For example, PECVD reactors may be used to deposit insulating films, such as silicon oxide (SiO), silicon nitride (SiN), silicon carbide (SiC), silicon carbide oxide (SiOC), and the like. Conductor films may also be deposited using a PECVD reactor. Such a film of material may include tungsten silicide (WSi), titanium nitride (TiN), aluminum (Al) alloys, and the like, to name a few. Depending on the type of film being deposited, specific reactant gases are introduced into the PECVD reactor while supplying Radio Frequency (RF) power to generate a plasma that enables the deposition.

In deposition processes, systems and circuits are used to set and/or monitor settings and operating parameters. One example parameter is temperature, e.g., temperature controlled by a heater embedded in a substrate support of the reactor. In some cases, the circuitry used to set, control and/or monitor the parameters may become complex and extensive. In addition, some systems require rotation of the wafer during processing, which further requires additional circuitry and control. Typically, as reactor systems become more complex, more circuitry is added to achieve this setting, control and/or monitoring. Unfortunately, as the complexity of the reactor system increases, the size and cost of such a system also increases.

It is in this case that the embodiments described in this disclosure result.

Disclosure of Invention

Embodiments of the present disclosure provide apparatus, methods and computer programs for manufacturing and using a mutual inductance filter for use in a plasma processing system. It should be appreciated that embodiments of the invention can be implemented in numerous ways, such as a process, an apparatus, a system, a device, or a method on a computer readable medium. Several embodiments are described below.

In one embodiment, a mutual inductance filter is provided. The mutual inductance filter filters out Radio Frequency (RF) power that may interfere with signals (e.g., Alternating Current (AC) signals, Direct Current (DC) signals, etc.) being provided to or received from a load. The interfering RF power is generated by RF power supplied from one or more RF generators to a pedestal in the plasma chamber. Mutual inductance filters are manufactured by winding one or more wires to form a combination of wires and then winding the combination into a plurality of turns to form a plurality of inductors. Further, a capacitor is connected to one of the plurality of inductors. A resonant frequency of a combination of the capacitor and one of the plurality of inductors is transmitted from the one of the inductors to a remaining inductor of the mutual inductance filter such that the mutual inductance filter has the resonant frequency. Any signal passing through the mutual inductance filter is filtered at the resonant frequency. In an embodiment, a further capacitor is connected to another one of the plurality of inductors and a further resonant frequency of the combination of the further capacitor and the another one of the plurality of inductors is passed from the another one of the plurality of inductors to a remaining inductor of the mutual inductance filter to couple the further resonant frequency to the mutual inductance filter. Any signal passing through the mutual inductance filter is filtered at both of these resonant frequencies.

In one embodiment, a mutual inductance filter for filtering Radio Frequency (RF) power from a signal provided to a load is described. The mutual inductance filter includes a first portion of a first load element connected to the load for filtering RF power from one of the signals provided to the first load element. The load is associated with a pedestal of a plasma chamber. The mutual inductance filter further includes a second portion of a second load element connected to the load for filtering RF power from another of the signals provided to the second load element. The first and second portions are wound around one another to couple to one another to further facilitate coupling of a resonant frequency associated with the first portion to the second portion.

In one embodiment, a mutual inductance filter for filtering RF power from a signal received from a load is described. The mutual inductance filter includes a first portion of a first load element connected to the load for filtering RF power from one of the signals received from the first load element. The mutual inductance filter includes a second portion of the mutual inductance filter connected to a second load element of the load for filtering RF power from another of the signals received from the second load element. The first and second portions are wound around one another to couple to one another to further facilitate coupling of a resonant frequency associated with the first portion to the second portion.

In one embodiment, a mutual inductance filter for filtering RF power from signals associated with a plurality of loads is described. The mutual inductance filter includes a first portion of a first load element connected to a first one of the plurality of loads for filtering RF power from one of the signals provided to the first load element. The first load is associated with a pedestal of a plasma chamber. The mutual inductance filter includes a second portion of a second load element connected to the first load for filtering RF power from another of the signals provided to the second load element. The mutual inductance filter further includes a third portion of the first load element connected to a second of the plurality of loads for filtering RF power from one of the signals received from the first load element of the second load. The second load is associated with the pedestal of the plasma chamber. The mutual inductance filter includes a fourth section of a second load element connected to the second load for filtering RF power from another one of the signals received from the second load element of the second load. The first, second, third and fourth portions are wound and wrapped around one another to couple to one another to further facilitate coupling of a resonant frequency associated with the first portion to the second, third and fourth portions and a resonant frequency associated with the fourth portion to the first, second and third portions.

Some advantages of embodiments described herein include arranging the first portion of the mutual inductance filter and the second portion of the mutual inductance filter such that the portions are mutually coupled to each other. The current in the first portion generates an induced electromotive force in the second portion. The electromotive force generates a current in the second portion to couple the first and second portions to each other. Further, as a result of the mutual coupling, a resonant frequency associated with the first portion is coupled to the second portion to provide uniformity across the resonant frequencies of the first and second portions for filtering RF power from the signal. The uniformity of the resonant frequency provides uniformity of processing a substrate, etc.

Furthermore, as another advantage, the current in the second portion generates an induced electromotive force in the first portion. The induced electromotive force generates a current through the first portion such that the second portion is mutually coupled to the first portion. Further, as a result of the mutual coupling, a resonant frequency associated with the second portion is coupled to the first portion to provide a uniform resonant frequency across both the first and second portions for filtering RF power from signals passing through the first portion to provide uniformity in processing a substrate.

Furthermore, a high level of mutual inductance results from a large mutual coupling of the first and second parts, which high level of mutual inductance results in an improved common mode rejection being achieved.

Further advantages of embodiments described herein include: one channel coupled to the capacitor is tuned for each frequency band. Mutual coupling between the inductors of the mutual inductance filter facilitates coupling of the tuned frequency of the mutual inductance filter to other channels of the mutual inductance filter. This saves labor time and costs associated with tuning multiple capacitors of previously used filters described further below.

Additional advantages of the embodiments described herein include the use of a lower number of filters to filter RF power from signals associated with the heater, thermocouple, and engine. Heretofore, for example, each channel of the filter includes two filters, one for filtering RF power from high frequencies and one for filtering RF power from low frequencies. The two filters are coupled in series. For two heater elements or two thermocouples or motors, 8 such filters are used. For two heater elements, two thermocouples and one engine, 24 such filters were used. The use of 24 filters increases the size of the filter box in which the 24 filters are installed. In the case of four stations, 96 such filters are used. In comparison, the embodiments described herein use three mutual inductance filters per station, one for two heater elements, one for two thermocouples, and one for one motor. Each mutual inductance filter has one or two resonant frequencies. In the case of four stations, 12 mutual-inductance filters are used instead of the 96 filters previously used. The lower number of mutual inductance filters reduces the time, cost and space associated with 96 filters. For example, each mutual inductance filter fits into a smaller sized package than the 8 previously used filters. As another example, the time taken to fit one mutual inductance filter is shorter than the time taken to fit 8 previously used filters. The 8 previously used filters comprised 8 capacitors and 8 inductors, which is more than the 2 capacitors and 6 inductors used in the mutual inductance filter. The higher number of capacitors and inductors in the previously used filter increases the amount of time it takes to assemble the previously used filter compared to the amount of time it takes to assemble the mutual inductance filter.

Furthermore, mutual inductance causes coupling across the resonant frequencies of the mutual inductance filter, and a uniform resonant frequency increases the likelihood of channel-to-channel (channel-to-channel) matching, station-to-station (station-to-station) matching, and tool-to-tool (tool-to-tool) matching.

In particular, some aspects of the invention may be set forth as follows:

1. a mutual inductance filter for filtering Radio Frequency (RF) power from a signal provided to a load, comprising:

a first portion of a first load element connected to the load for filtering RF power from one of the signals provided to the first load element, wherein the load is associated with a pedestal of a plasma chamber;

a second portion of a second load element connected to the load for filtering RF power from another of the signals provided to the second load element,

wherein the first and second portions are wound around each other to couple to each other to further facilitate coupling of a resonant frequency associated with the first portion to the second portion.

2. The mutual inductance filter of clause 1, wherein the first and second portions comprise coextensively wound inductors to define a single winding.

3. The mutual inductive filter of clause 1, wherein the first and second portions are wrapped around each other to facilitate coupling of a resonant frequency associated with the second portion to the first portion.

4. The mutual inductance filter of clause 1, wherein the first portion comprises two or more inductors wound around each other and one of the two or more inductors of the first portion is connected to a capacitor, wherein the second portion comprises two or more inductors wound around each other and one of the two or more inductors of the second portion is connected to a capacitor, wherein the two or more inductors of the second portion are wound around the two or more inductors of the first portion to enable the mutual coupling between the first and second portions to further enable the coupling of the resonant frequency.

5. The mutual inductance filter of clause 4, wherein a combination of the capacitor of the first portion and the one of the two or more inductors of the first portion has the resonant frequency, and a combination of the capacitor of the second portion and the one of the two or more inductors of the second portion has another resonant frequency, wherein the two resonant frequencies are the same, wherein the mutual coupling between the first and second portions facilitates coupling of the other resonant frequency from the second portion to the first portion.

6. The mutual inductance filter of clause 4, wherein a combination of the capacitor of the first portion and the one of the two or more inductors of the first portion has the resonant frequency, and a combination of the capacitor of the second portion and the one of the two or more inductors of the second portion has another resonant frequency, wherein the two resonant frequencies are different from each other, wherein the mutual coupling between the first and second portions facilitates the another resonant frequency to couple from the second portion to the first portion.

7. The mutual inductance filter of clause 1, wherein the first portion comprises two or more inductors wound around each other and one of the two or more inductors of the first portion is connected to a capacitor, wherein the second portion comprises two or more inductors wound around each other, wherein the two or more inductors of the second portion are wound around the two or more inductors of the first portion to enable the mutual coupling between the first and second portions.

8. The mutual inductance filter of clause 1, wherein the first portion comprises two or more inductors wound around each other, wherein one of the two or more inductors of the first portion is connected to a capacitor and the first load element, wherein another of the two or more inductors is connected to the first load element.

9. The mutual inductance filter of clause 1, wherein the first portion comprises three or more inductors wound around each other, wherein one of the three or more inductors of the first portion is connected to the first load element, wherein another of the three or more inductors is connected to the first load element, and wherein yet another of the three or more inductors is connected to a capacitor.

10. The mutual inductance filter of clause 1, wherein each of the first and second load elements is a resistive element or a stator winding of a motor or a thermocouple.

11. A mutual inductance filter for filtering Radio Frequency (RF) power from a signal received from a load, comprising:

a first portion of a first load element connected to the load for filtering RF power from one of the signals received from the first load element, wherein the load is associated with a pedestal of a plasma chamber;

a second portion of a second load element connected to the load for filtering RF power from another of the signals received from the second load element,

wherein the first and second portions are wound around each other to couple to each other to further facilitate coupling of a resonant frequency associated with the first portion to the second portion.

12. The mutual inductive filter of clause 11, wherein the first and second portions comprise inductors wound around each other to facilitate coupling of a resonant frequency associated with the second portion to the first portion.

13. The mutual inductance filter according to clause 11, wherein the first and second portions comprise coextensively wound inductors to define a single winding.

14. The mutual inductance filter of clause 11, wherein each of the first load element and the second load element is a thermocouple connected to a heater element of the plasma chamber to sense a temperature of the heater element.

15. The mutual inductance filter of clause 11, wherein the first portion comprises two or more inductors wound around each other and one of the two or more inductors of the first portion is connected to a capacitor, wherein the second portion comprises two or more inductors wound around each other and one of the two or more inductors of the second portion is connected to a capacitor, wherein the two or more inductors of the second portion are wound around the two or more inductors of the first portion to enable the mutual coupling between the first and second portions to further enable the coupling of the resonant frequency.

16. The mutual inductance filter of clause 15, wherein a combination of the capacitor of the first portion and the one of the two or more inductors of the first portion has the resonant frequency, and a combination of the capacitor of the second portion and the one of the two or more inductors of the second portion has another resonant frequency, wherein the two resonant frequencies are the same, wherein the mutual coupling between the first and second portions facilitates coupling of the other resonant frequency from the second portion to the first portion.

17. The mutual inductance filter of clause 15, wherein a combination of the capacitor of the first portion and the one of the two or more inductors of the first portion has the resonant frequency, and a combination of the capacitor of the second portion and the one of the two or more inductors of the second portion has another resonant frequency, wherein the two resonant frequencies are different from each other, wherein the mutual coupling between the first and second portions facilitates the another resonant frequency to couple from the second portion to the first portion.

18. A mutual inductance filter for filtering Radio Frequency (RF) power from signals associated with a plurality of loads, comprising:

a first portion of a first load element connected to a first one of the plurality of loads for filtering RF power from one of the signals provided to the first load element, wherein the first load is associated with a pedestal of a plasma chamber;

a second portion of a second load element connected to the first load for filtering RF power from another of the signals provided to the second load element;

a third portion of a first load element connected to a second of the plurality of loads for filtering RF power from one of the signals received from the first load element of the second load, wherein the second load is associated with the pedestal of the plasma chamber;

a fourth portion of a second load element connected to the second load for filtering RF power from another of the signals received from the second load element of the second load,

wherein the first, second, third and fourth portions are wound and wrapped around each other to couple to each other to further facilitate coupling of a resonant frequency associated with the first portion to the second, third and fourth portions.

19. The mutual inductance filter according to clause 18, wherein the first, second, third and fourth portions comprise coextensively wound inductors to define a single winding.

20. The mutual inductance filter of clause 18, wherein the first portion comprises two or more inductors wound around each other and one of the two or more inductors of the first portion is connected to a capacitor, wherein the second portion comprises two or more inductors wound around each other, wherein the third portion comprises two or more inductors wound around each other, wherein the fourth portion comprises two or more inductors wound around each other and one of the two or more inductors of the second portion is connected to a capacitor.

Other aspects will become apparent from the following detailed description taken in conjunction with the accompanying drawings.

Drawings

The embodiments are best understood by reference to the following description taken in conjunction with the accompanying drawings.

Figure 1 illustrates a substrate processing system for processing a wafer according to some embodiments described in the present disclosure.

Fig. 2 illustrates a top view of a multi-station processing tool in which four processing stations are provided, according to various embodiments described in the present disclosure.

Fig. 3 illustrates a schematic diagram of a multi-station processing tool having inbound load locks and outbound load locks in accordance with various embodiments described in the present disclosure.

Fig. 4A is a schematic diagram of a plasma processing system to illustrate the use of a mutual inductance filter with various components of the plasma processing system, according to some embodiments described in the present disclosure.

Fig. 4B is a circuit diagram of any of the mutual inductive filters of fig. 4A, in accordance with various embodiments described in the present disclosure.

Fig. 4C is a schematic diagram of any of the mutual inductance filters of fig. 4A with six wires wound and wound together to form 6 inductors according to several embodiments described in the present disclosure.

Fig. 5A is a schematic diagram of a mutual inductance filter coupled to a heater resistance of the substrate processing system of fig. 1, according to some embodiments described in the present disclosure.

FIG. 5B is a schematic diagram of a mutual inductance filter coupled to a thermocouple used in the substrate processing system of FIG. 1, according to some embodiments described in the present disclosure.

Fig. 5C is a schematic diagram of a mutual inductance filter coupled to a motor and a power source according to various embodiments described in the present disclosure.

FIG. 5D illustrates a circuit diagram of a mutual inductance filter coupled to the resistor, a circuit diagram of a mutual inductance filter coupled to the thermocouple, and a circuit diagram of a mutual inductance filter coupled to the motor, according to several embodiments described in the present disclosure.

Fig. 6 is a schematic diagram of a filter including a first portion and a second portion to illustrate mutual coupling between the portions, according to several embodiments described in the present disclosure.

Figure 7 is a schematic diagram of an embodiment of a mutual inductance filter according to some embodiments described in the present disclosure to illustrate the mutual coupling between a first portion of the mutual inductance filter that filters out RF power from signals transmitted between the heater element and the power source and a second portion of the mutual inductance filter that filters out RF power from signals transmitted between the motor and the AC power source.

Figure 8A is a graph illustrating resonant frequencies of mutually coupled portions of a mutual inductance filter according to several embodiments described in this disclosure are the same or substantially the same.

Fig. 8B is a circuit diagram of the mutual inductance filter of fig. 8A according to several embodiments described in the present disclosure.

Fig. 9 shows a schematic diagram of a cross-section of a filter according to some embodiments described in the present disclosure.

Fig. 10A is a schematic diagram of a graph illustrating similar attenuation by a dual-band mutual inductance filter and a display of similar resonant frequencies by elements of the dual-band mutual inductance filter, according to various embodiments described in the present disclosure.

Fig. 10B-1 illustrates a prototype of a dual-band mutual inductance filter including the elements of fig. 10A, according to various embodiments described in the present disclosure.

Fig. 10B-2 is a circuit diagram of the dual-band mutual inductance filter of fig. 10A according to various embodiments described in the present disclosure.

Fig. 11A shows a graph illustrating attenuation associated with one channel of a dual-band mutual inductance filter, according to some embodiments described in the present disclosure.

Figure 11B shows a graph illustrating the attenuation associated with another channel of the dual-band mutual inductance filter of figure 11A, according to some embodiments described in the present disclosure.

Figure 11C shows a graph illustrating the attenuation associated with yet another channel of the dual-band mutual inductance filter of figure 11A, according to some embodiments described in the present disclosure.

Figure 11D shows a graph illustrating the attenuation associated with another channel of the dual-band mutual inductance filter of figure 11A, according to some embodiments described in the present disclosure.

Figure 12 is a schematic diagram illustrating the winding and wrapping of four inductors during the fabrication of a mutual inductance filter according to some embodiments described in the present disclosure.

Detailed Description

The following embodiments describe systems and methods for making and using mutual inductance filters to achieve one or more resonant frequencies. It will be apparent that embodiments may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the embodiments.

The deposition of the film is preferably carried out in a Plasma Enhanced Chemical Vapor Deposition (PECVD) system. The PECVD system can take many different forms. The PECVD system includes one or more chambers or "reactors" (sometimes including multiple stations) that house one or more wafers and are suitable for wafer processing. Each chamber may house one or more wafers for processing. The chamber or chambers hold the wafer in a defined position or positions (with or without movement within the position, such as rotation, vibration, or other agitation). Wafers that are deposited during processing may be transported within the reactor chamber from station to station. Of course, film deposition may occur entirely at a single station or any portion of the film may be deposited at any number of stations.

During processing, each wafer is held by a susceptor (e.g., wafer chuck, etc.) and/or other wafer holding device. For certain operations, the apparatus may include: a heater (such as a hot plate) that heats the wafer, a set of thermocouples that measure temperature during processing of the wafer, and a motor that rotates the susceptor during wafer processing.

The mutual inductance filter is used to filter Radio Frequency (RF) power from a signal (e.g., an alternating current (DC) signal, a direct current (AC) signal, etc.) being provided to or received from a load. Examples of loads include heaters, thermocouples, and motors. The mutual inductance filter is fabricated to achieve mutual inductance or mutual coupling between the first portion of the mutual inductance filter and the second portion of the mutual inductance filter. The phenomenon of mutual inductance filtering is not transformer related. For example, in a transformer, when a current is applied to a primary coil of the transformer, mutual inductance is generated in a secondary coil of the transformer. When an active AC power source (e.g., a voltage AC source, etc.) is connected to the primary coil, a current is applied to the primary coil. The current change in the primary coil generates an electromotive force, e.g., a voltage, etc., in the secondary coil. In contrast, in a mutual inductance filter described in further detail below, the use of passive elements (e.g., inductors, capacitors, etc.) within the first portion of the mutual inductance filter results in the transmission of one or more resonant frequencies from the first portion to the second portion. Signal transmission between passive elements within the first portion of the mutual inductance filter generates an electromagnetic field. The electromagnetic field induces an electromotive force in the second portion of the mutual inductance filter to couple the inductive elements of the first and second portions to each other. There is no direct signal applied to the first part, for example from an AC power supply or the like. Mutual coupling between the inductive elements of the mutual inductance filter facilitates achieving a resonant frequency associated with the combination of the capacitive and inductive elements of the first portion of the filter such that the mutual inductance filter has the resonant frequency.

In one embodiment, the capacitive element is used within a second portion of the mutual inductance filter in addition to the capacitive element within the first portion, the mutual coupling between the first and second portions of the mutual inductance filter facilitating achieving a resonant frequency associated with the combination of the capacitive element and the inductive element of the mutual inductance filter.

Fig. 1 shows a substrate processing system 100 for processing a wafer 101. The system includes a chamber 102 having a lower chamber portion 102b and an upper chamber portion 102 a. The center post is configured to support a base 140, the base 140 being a powered electrode in one embodiment. The base 140 is electrically coupled to a Radio Frequency (RF) power source 104 via a matching network 106. The power supply is controlled by a control module 110 (e.g., controller, etc.) described further below. The control module 110 operates the substrate processing system 100 by executing the process inputs and controls 108. Process inputs and controls 108 may include process recipes (e.g., power levels, timing parameters, process gases, mechanical movement of wafer 101, etc.) to deposit or form a film on wafer 101.

The center column is also shown to include lift pins 120, which are controlled by lift pin control 122. The lift pins 120 are used to raise the wafer 101 from the pedestal 140 to allow the end effector to pick up the wafer 101 and lower the wafer 101 after the wafer 101 is placed by the end effector. The substrate processing system 100 also includes a gas supply manifold 112 connected to a process gas 114 (e.g., a gas chemistry supplied from a facility). The control module 110 controls the delivery of process gases 114 through the gas supply manifold 112, depending on the process being performed. The selected gas is then flowed into the showerhead 150 and distributed within the volume of space defined between the face of the showerhead 150 facing the wafer 101 and the wafer 101 resting on the pedestal 140.

Further, the gases may or may not be premixed. Appropriate valving and mass flow control mechanisms may be employed to ensure that the correct gases are delivered during the deposition and plasma processing phases. The process gas exits the chamber via an outlet. A vacuum pump (e.g., one or two stage mechanical dry pump and/or a turbomolecular pump) draws process gases out and maintains a suitable low pressure within the reactor through a closed-loop controlled flow restriction device (e.g., a throttle valve or a pendulum valve).

Also shown is a support ring 200 that surrounds the outer region of the base 140. The carrier ring 200 is configured to be positioned over a carrier ring support area, which is a step down from a wafer support area in the center of the pedestal 140. The support ring includes an outer edge side (e.g., outer radius) of its disk structure and a wafer edge side (e.g., inner radius) of its disk structure proximate the location of the wafer 101. The wafer edge side of the carrier ring includes a plurality of contact support structures configured to lift the wafer 101 when the carrier ring 200 is lifted by the spider fork 180. The carrier ring 200 is thus lifted with the wafer 101 and can be rotated to another station (e.g., in a multi-station system).

In one embodiment, the upper electrode in the showerhead 150 is grounded while RF power is supplied from the RF power supply 104 to the lower electrode in the pedestal 140.

In one embodiment, rather than the pedestal 140 being electrically coupled to the RF power source 104 via the matching network 106, an electrode within the showerhead 150 is coupled to the RF power source 104 via the matching network for receiving power from the RF power source 104 and a lower electrode within the pedestal 140 is grounded.

In one embodiment, instead of RF power supply 104, multiple RF power supplies are used to generate RF signals having different frequencies, e.g., a power supply for generating an RF signal having frequency RF1 and a power supply for generating an RF signal having frequency RF 2.

Fig. 2 shows a top view of a multi-station processing tool in which four processing stations are provided. The top view is a top view of the lower chamber portion 102b (e.g., the upper chamber portion 102a is removed for illustration) with four stations accessed through spider 226. In one embodiment, there is no dividing wall or other mechanism to separate one station from another. Each spider-like fork or prong includes first and second arms, with each arm positioned around a portion of each side of the base 140. In this view, the spider prongs 226 are drawn in phantom to indicate that they are below the support ring 200. Spider prongs 226 using the engaging and rotating mechanism 220 are configured to simultaneously lift and lift the carrier ring 200 from the station (i.e., from the lower surface of the carrier ring 200) and then rotate at least one or more of the stations to the next position prior to lowering the carrier ring 200 (wherein at least one of the carrier rings supports the wafer 101) so that further plasma processing, and/or film deposition can occur on the respective wafer 101.

Fig. 3 shows a schematic diagram of an embodiment of a multi-station processing tool 300 having inbound load locks 302 and outbound load locks 304. At atmospheric pressure, the robot 306 is configured to move substrates loaded through the cassette 308 from the cassette into the inbound load lock 302 via the atmospheric port 310. The inbound load lock 302 is coupled to a vacuum source (not shown) so that the inbound load lock 302 may be evacuated when the atmospheric port 310 is closed. The inbound load lock 302 also includes a chamber transfer port 316 that interfaces with the process chamber 102 b. Thus, when the chamber transfer port 316 is opened, another robot (not shown) may move the substrate from the inbound load lock 302 to the pedestal 140 of the first processing station for processing.

The depicted process chamber 102b includes four process stations numbered from 1 to 4 in the embodiment shown in fig. 3. In some embodiments, the process chamber 102b can be configured to maintain a low pressure environment such that substrates can be transported without experiencing vacuum breaks and/or air contact using the support ring 200 between processing stations. Each of the processing stations depicted in fig. 3 includes a processing station substrate support (shown at 318 for station 1) and a process gas delivery line inlet.

FIG. 3 also shows spider prongs 226, sometimes referred to herein as tools, for transporting substrates in the process chamber 102 b. As will be described in more detail below, spider 226 rotates and transports wafers from station to station. The transport occurs by enabling spider 226 to lift support ring 200 from the outer bottom surface, thereby lifting the wafer and rotating the wafer and support ring together to the next station. In one configuration, spider 226 is made of a ceramic material to withstand high levels of heat during processing.

Fig. 4A is a schematic diagram of an embodiment of a plasma processing system 400 to illustrate the use of a mutual inductance filter with various components of the plasma processing system 400. The plasma processing system 400 includes a pedestal 402, such as, for example, pedestal 140 (fig. 1). The pedestal 402 includes heater elements HE1 and HE2 to control the temperature of different regions within the gap between the showerhead 150 (FIG. 1) and the pedestal 140 (FIG. 1). Examples of heater elements include resistors and plates. The heater elements HE1 are operated to heat a portion of the components (e.g., electrodes, etc.) located within the susceptor 402 to control the temperature of the handle wafer 101 (fig. 1). The heater elements HE2 are operated to heat another portion of the assembly located within the susceptor 402. For example, the assembly is placed in contact with heater elements HE1 and HE2 to be heated by heater elements HE1 and HE 2.

Thermocouple TC1 is in contact with heater element HE1 to sense the temperature of the heater element HE1 and thermocouple TC2 is in contact with the heater element HE2 to sense the temperature of the heater element HE 2. Further, the motor is coupled to the base 402 via one or more coupling mechanisms (e.g., gears, shafts, linkages, etc.) to rotate the base 402 about the vertical axis z.

The plasma processing system 400 also includes a mutual inductance filter 404A coupled to the heater elements HE1 and HE2 and AC power supplies AC1 and AC2, a mutual inductance filter 404B coupled to the thermocouples TC1 and TC2 and the temperature controller, and a mutual inductance filter 404C coupled to the motor and the power supply.

It should be noted that a controller, as used herein, includes a processor and a memory device. Examples of processors include microprocessors, Application Specific Integrated Circuits (ASICs), Programmable Logic Devices (PLDs), and Central Processing Units (CPUs). Examples of memory devices include Read Only Memory (ROM), Random Access Memory (RAM), flash memory, a storage disk array, a hard disk, and so forth.

The AC power source AC1 supplies an AC signal to a first portion of the mutual inductance filter 404A, and the first portion filters out RF power from the AC signal to output a filtered signal. The filtered signal is sent from the first portion of the mutual inductance filter 404A to the heater element HE1 to heat the heater element HE 1. Similarly, the AC power source AC2 supplies an AC signal to a second portion of the mutual inductance filter 404A and the second portion filters RF power from the AC signal to output a filtered signal that is sent from the second portion of the mutual inductance filter 404A to the heater element HE2 to heat the heater element HE 2. It should be noted that the RF power filtered from the AC signals supplied by the AC power supplies AC1 and AC2 is coupled to the AC signal from the RF power supplied to the pedestal from the RF power supply 104. Such filtering reduces the chance of RF power being coupled to the ground potential of the AC sources AC1 and AC 2. Furthermore, such filtering increases the likelihood that RF power is provided to the pedestal rather than being grounded via AC power sources AC1 and AC 2.

Thermocouple TC1 senses the temperature of heater element HE1 and generates a sensed temperature signal that is provided to a first portion of mutual inductance filter 404B to filter RF power from the sensed temperature signal. RF power from the sensed temperature signal passing through the first section is filtered by the mutual inductance filter 404B to provide a filtered signal to the temperature controller. Likewise, thermocouple TC2 senses the temperature of heater element HE2 and generates a sensed temperature signal that is passed through a second portion of mutual inductance filter 404B to filter RF power from the sensed temperature signal. RF power from the sensed temperature signal passing through the second portion of the mutual inductance filter 404B is filtered by the mutual inductance filter 404B to provide a filtered signal to the temperature controller. It should be noted that the RF power filtered from the sensed temperature signals generated by thermocouples TC1 and TC2 is coupled with the sensed temperature signal from the RF power being supplied to the pedestal from RF power source 104. Such filtering reduces the likelihood that RF power coupled to the sensed temperature signal will be supplied to and damage the temperature controller. In addition, such filtering increases the chances that RF power is provided to the pedestal rather than being transmitted to the temperature controller.

The temperature controller includes a multimeter that receives the filtered signal from the first portion of the mutual inductance filter 404B and provides a sensed value of the temperature of the heater element HE1 to a processor of the temperature controller. The processor of the temperature controller determines whether to change (e.g., increase, decrease, etc.) the temperature of the heater element HE1 based on the sensed value. Upon determining that the temperature is to be changed, the temperature controller sends a signal indicative of the temperature change to the AC power source AC 1. Upon receiving this signal to change the temperature, the AC power source AC1 generates an AC signal to change the temperature of the heater element HE1 and sends the AC signal through the first portion of the mutual filter 404A to the heater element HE 1.

In a similar manner, moreover, the multimeter receives the filtered signal from the second portion of mutual inductance filter 404B and provides a sensed value of the temperature of heater element HE2 to the processor of the temperature controller. The processor of the temperature controller determines whether to change the temperature of the heater element HE2 based on the sensed value. Upon determining that the temperature is to be changed, the temperature controller sends a signal indicative of the temperature change to the AC power source AC 2. Upon receiving this signal to change the temperature, the AC power source AC2 generates an AC signal to change the temperature of the heater element HE2 and sends the AC signal through the second portion of the mutual filter 404A to the heater element HE 2.

The AC power supply supplies an AC power signal to the trans filter 404C. The mutual inductance filter 404C filters out RF power from the AC power signal to produce a filtered signal that is sent from the mutual inductance filter 404C to the engine. When the stator of the motor receives the filtered signal, the rotor of the motor rotates relative to the stator. The rotational motion of the rotor is transferred to the base 402 via one or more linkages. It should be noted that the RF power filtered from the AC power signal generated by the AC power source is coupled with the AC power signal from the RF power being supplied to the pedestal from the RF power source 104. Such filtering reduces the likelihood that RF power coupled to the AC power signal will be supplied to and damage the engine. In addition, such filtering increases the chances that RF power is provided to the pedestal rather than being transmitted to the engine.

In an embodiment, any number of heater elements are used in the susceptor 402 to heat components within the susceptor 402, and any number of thermocouples are used to sense the temperature of the heater elements.

In one embodiment, instead of the AC signal being provided to the heater element HE1 from AC power source AC1 and the AC signal being provided to the heater element HE2 from AC power source AC2, a DC power signal is provided to the heater element HE1 from a DC power source and a DC power signal is provided to the heater element HE2 from a DC power source, and the DC power signal is filtered by a mutual inductance filter, embodiments of which are described herein.

In one embodiment, instead of an AC power signal being provided to the motor from an AC power source, a DC power signal is provided to the motor from a DC power source and RF power is filtered from the DC power signal by using a mutual inductance filter, embodiments of which are described herein.

In one embodiment, two additional thermocouples are also used for overheat protection, instead of or in addition to thermocouples TC1 and TC2, for example, in the event that the temperatures of heater elements HE1 and HE2 exceed predetermined temperatures, and so on. The two additional thermocouples are connected to the mutual inductance filter in a manner similar to the connection of mutual inductance filter 404B to thermocouples TC1 and TC 2.

Fig. 4B is a circuit diagram of a mutual inductance filter 410, which is an example of any of the mutual inductance filters 404A, 404B, and 404C (fig. 4A). Mutual inductance filter 410 includes a portion 410A and a portion 410B.

Portion 410A includes a capacitor connected in series to an inductor, and also includes two additional inductors wound and wrapped with the inductor coupled in series to the capacitor. In an embodiment, the mutual inductance filter includes any other number of inductors, e.g., one, three, etc., all wound and wrapped with the inductor of portion 410A coupled in series to the capacitor. Further, in an embodiment, the mutual inductance filter includes two or more capacitors coupled in series with each other or in parallel with each other instead of one capacitor as shown in fig. 4B. Similarly, the portion 410B includes a capacitor connected in series to an inductor, and also includes two additional inductors wound and wrapped with the inductor of the portion 410B coupled in series to the capacitor.

It should be noted that the resonant frequency f1 of the series combination of the capacitor and inductor of portion 410A is the same as the resonant frequency f2 of the series combination of the capacitor and inductor of portion 410B. In one embodiment, the resonant frequency f1 of the series combination of the capacitor and inductor of portion 410A is different from the resonant frequency f2 of the series combination of the capacitor and inductor of portion 410B. For example, frequency f1 is High Frequency (HF) and frequency f2 is Low Frequency (LF). As another example, the frequency f1 is a low frequency and the frequency f2 is a high frequency. As yet another example, the frequency f1 is a low frequency or a high frequency and the frequency f2 is between the high frequency and the low frequency. As another example, the frequency f2 is a low frequency or a high frequency and the frequency f1 is between the high frequency and the low frequency. As another example, the frequency f1 is in the range of 400 kilohertz (kHz). + -. 10%, which is an example of a low frequency, and the frequency f2 is in the range of 13.56 megahertz (MHz). + -. 5%, which is an example of a high frequency.

The wires of the mutual inductance filter 410 are wound together to form a single body and then wound to form an inductor, which is also a single body. For example, six wires are rotated around another wire and then wound to form a single body having six inductors coupled to each other. The resonant frequency f1 is transmitted from the series combination of the capacitor and inductor of portion 410A to the remaining inductors of the mutual inductance filter 410. Likewise, the resonant frequency f2 is transmitted from the series combination of the capacitor and inductor of portion 410B to the remaining inductors of the mutual inductance filter 410.

It should be noted that the blocks 411 surrounding the inductors of the mutual inductance filter 410 that are not directly connected to the capacitors of the mutual inductance filter 410 are shown to illustrate the mutual inductance between the inductors.

As used herein, in one embodiment, winding is rotating two or more wires around each other, e.g., to form a chain, to form a braided structure, etc., while winding is forming a multi-turn coil, e.g., creating a helical coil, etc.

In one embodiment, the capacitor and inductor of portion 410B are removed from portion 410B. In one embodiment, the capacitor and inductor of portion 410A are removed from portion 410A.

Fig. 4C is a schematic diagram of an embodiment of a mutual inductance filter 410 in which six wires are wound and then wound together to form 6 inductors. Two of the inductors are coupled with capacitors of the mutual inductance filter 410 to form the mutual inductance filter 410.

Fig. 5A is a schematic diagram of an embodiment of the mutual inductance filter 410 coupled to resistors R1 and R2. The resistor R1 is an example of a heater element HE1 (FIG. 4A) and the resistor R2 is an example of a heater element HE2 (FIG. 4A). Node N1 of resistor R1 is connected to channel C1 of segment 410A, node N2 of resistor R1 is connected to another channel C2 of segment 410A, node N3 of resistor R2 is connected to channel C3 of segment 410B, and node N4 of resistor R2 is connected to channel C4 of segment 410B. The resistor R1 is used to heat the left portion of the lower electrode 502 of the susceptor 402 and the resistor R2 is used to heat the right portion of the lower electrode 502.

The current signal supplied by the AC power source AC1 is sent to the inductor of channel C1. The mutual inductance filter 410 filters RF power from a current signal provided to the resistor R1 by the AC power source AC1 at the resonance frequency f 1. The resonant frequency f1 is coupled to channel C1, from the inductor coupled in series with the capacitor of portion 410A to the inductor of channel C1. In addition, RF power is filtered from the current signal returned from resistor R1 via channel C2 at resonant frequency f 1. The resonant frequency f1 is coupled to channel C2, from the inductor coupled in series with the capacitor of portion 410A through the inductor of channel C1 to the inductor of channel C2. In addition, RF power is filtered from the current signal supplied to the resistor R1 by the AC power source AC1 at the resonant frequency f 2. The resonant frequency f2 is coupled to channel C1, from the inductor of portion 410B coupled in series with the capacitor, via the inductor of channel C4, the inductor of channel C3, and the inductor of channel C2, to the inductor of channel C1. In addition, RF power is filtered from the current signal returned from resistor R1 via channel C2 at resonant frequency f 2. The resonant frequency f2 is coupled to channel C2, from the inductor of portion 410B coupled in series with the capacitor, via the inductor of channel C4 and the inductor of channel C3, to the inductor of channel C2.

The current signal supplied by the AC power source AC2 is sent to the inductor of channel C4. RF power is filtered by the mutual inductance filter 410 at the resonant frequency f1 from the current signal provided by the AC power source AC2 to the resistor R2. The resonant frequency f1 is coupled to channel C4, from the inductor of portion 410A coupled in series with the capacitor, through the inductor of channel C1, the inductor of channel C2, and the inductor of channel C3 to the inductor of channel C4. Also, the RF power is filtered from the current signal returned from resistor R2 via channel C3 at resonant frequency f 1. The resonant frequency f1 is coupled to channel C3, from the inductor of portion 410A coupled in series with the capacitor, through the inductor of channel C1 and the inductor of channel C2 to the inductor of channel C3. Further, RF power is filtered from the current signal provided to the resistor R2 by the AC power source AC2 at the resonant frequency f 2. The resonant frequency f2 is coupled to channel C4, from the inductor of portion 410B coupled in series with the capacitor to the inductor of channel C4. In addition, RF power is filtered from the current signal returned from resistor R2 via channel C3 at resonant frequency f 2. The resonant frequency f2 is coupled to channel C3, from the inductor of portion 410B coupled in series with the capacitor to the inductor of channel C3 via the inductor of channel C4.

FIG. 5B is a schematic diagram of an embodiment of mutual inductance filter 410 coupled to thermocouples TC1 and TC 2. The sensed temperature signal is sent from sensing junction SJ1 of thermocouple TC1 to the inductor of channel C1 via a portion of channel C1 of portion 410A. In addition, a reference temperature signal is sent from reference junction RJ1 of thermocouple TC1 to the inductor of channel C2 via a portion of channel C2 of portion 410A.

The resonant frequency f1 of the series combination of the capacitor and the inductor of the portion 410A is coupled from the inductor of channel C1 to the inductor of channel C4 via the inductor of channel C2 and the inductor of channel C3. Likewise, the resonant frequency f2 of the series combination of the capacitor and the inductor of the portion 410B is coupled from the inductor of channel C4 to the inductor of channel C1 via the inductor of channel C3 and the inductor of channel C2. The RF power from the reference temperature signal transmitted by reference junction RJ1 and the RF power from the sensed temperature signal transmitted by sensing junction SJ1 are filtered by section 410A at resonant frequencies f1 and f2 to produce a filtered signal that is used by the temperature controller to determine (e.g., measure, etc.) the temperature of resistor R1.

Likewise, the sensed temperature signal is sent from sensing junction SJ2 of thermocouple TC2 to the inductor of channel C4 via channel C4 of portion 410B. In addition, a reference temperature signal is sent from reference junction RJ2 of thermocouple TC2 to the inductor of channel C3 via channel C3 of portion 410B. The RF power of the reference temperature signal transmitted from reference junction RJ2 and the RF power of the sensed temperature signal transmitted from sensing junction SJ2 are filtered by section 410B at resonant frequencies f1 and f2 to produce filtered signals that are used by the temperature controller to determine the temperature of resistor R2.

Fig. 5C is a schematic diagram of an embodiment of a mutual inductance filter 410 coupled to a motor and an AC power source. It should be noted that the first phase of the AC signal is provided from the AC power source to the stator windings of the motor via channel C1 of section 410A and the first phase of the AC signal is returned from the windings to the AC power source via channel C2 of section 410A. In addition, the second phase of the supplied AC signal is provided from the AC power source to the other winding of the stator of the motor via channel C4 of the portion 410B and the second phase of the returned AC signal is sent from the other winding to the AC power source via channel C3 of the portion 410B.

The resonant frequency f1 of the series combination of the capacitor and the inductor of the portion 410A is coupled from the inductor of the portion 410A to the inductor of the channel C4 via the inductor of the channel C1, the inductor of the channel C2, and the inductor of the channel C3. Likewise, the resonant frequency f2 of the series combination of the capacitor and the inductor of the portion 410B is coupled from the inductor of the portion 410B to the inductor of the channel C1 via the inductor of the channel C4, the inductor of the channel C3, and the inductor of the channel C2.

When resonant frequency f1 is coupled from portion 410A and portion 410B, RF power from the first and second phases of the provided AC signal and from the first and second phases of the returned AC signal is filtered by the mutual inductance filter 410 at resonant frequency f 1. Also, when resonant frequency f2 is coupled from portion 410B with portion 410A, RF power from the first and second phases of the provided AC signal and from the first and second phases of the returned AC signal is filtered by the mutual inductance filter 410 at resonant frequency f 2.

The above-described embodiment of the mutual inductance filter 410 is associated with providing a bi-phase AC signal to the motor. In one embodiment, wherein a three-phase AC signal is provided to the motor by the AC power source, a third phase of the provided AC signal is provided from the AC power source to the stator windings of the motor via a channel (not shown) of a mutual inductance filter (not shown) and a third phase of the returned AC signal is returned from the stator windings to the AC power source via a channel of the mutual inductance filter. The inductor of the mutual inductance filter for filtering RF power from the third phase of the AC signal is mutually coupled with the inductor for filtering RF power from the first and second phases of the AC signal, and such mutual coupling facilitates filtering RF power from the third phase by the mutual inductance filter at resonant frequencies f1 and f 2. Furthermore, if the series combination of the capacitor and the inductor having the resonant frequency f3 is coupled to the inductor of the mutual filter for filtering RF power from the third phase, there is mutual coupling between the portions 410A and 410B and the portions including the capacitor and the inductor associated with filtering RF power from the third phase. The portions 410A, 410B and the mutual coupling between the portions facilitate filtering of RF power by the portions 410A and 410B and the portions at the resonant frequency f 3.

FIG. 5D is a schematic diagram of an embodiment of the mutual inductance filter 410 coupled to the resistors R1 and R2, the mutual inductance filter 410 coupled to the thermocouples TC1 and TC2, and the mutual inductance filter 410 coupled to the engine.

Fig. 6 is a schematic diagram of an embodiment of a mutual inductance filter 602, which includes a portion 602A and a portion 602B to illustrate mutual coupling between the portions 602A and 602B. Portion 602A is connected to a load element LE1, e.g., heater element HE1, or a stator winding of the motor, thermocouple TC1, etc., and portion 602B is connected to a corresponding load element LE2, e.g., heater element HE2, or another stator winding of the motor, or thermocouple TC2, etc. The portion 602A includes passive elements coupled to each other, for example, a plurality of inductors I1 and I2, and a capacitor, etc. The capacitor of portion 602A is coupled in series with inductor I1. Further, the portion 602B includes passive elements coupled to each other, for example, a plurality of inductors I3 and I4, and a capacitor or the like. The inductor I4 of the portion 602B is coupled in series with the capacitor of the portion 602B. Also, the inductors I1, I2, I3, and I4 are wound and wound around each other, so that mutual coupling is achieved between the inductors I1, I2, I3, and I4. When mutual coupling is achieved, the resonant frequency of the combination of the capacitor of portion 602A and inductor I1 is transmitted to inductor I4 via inductor I2 and inductor I3, and the resonant frequency of the combination of the capacitor of portion 602B and inductor I4 is transmitted to inductor I1 via inductor I3 and inductor I2.

When the load element LE1 is a heater element, one node of the load element LE1 is coupled to a power source, such as an AC power source, a DC power source, etc., via an inductor I1, while another node of the load element LE1 is coupled to the power source via an inductor I2. Further, when the load element LE2 is a heater element, one node of the load element LE2 is coupled to the power source via inductor I4 and the other node of the load element LE2 is coupled to the power source via inductor I3. When the load element LE1 is a stator winding of an engine, one node of the load element LE1 is coupled to a power source, e.g., an AC power source, a DC power source, etc., via an inductor I1, and another node of the load element LE1 is coupled to the power source via an inductor I2. Further, when load element LE2 is a stator winding of an engine, one node of load element LE2 is coupled to a power source via inductor I4 and the other node of load element LE2 is coupled to the power source via inductor I3.

During operation, signals (e.g., AC signals, DC signals, etc.) are transmitted via inductors I1 and I2 of portion 602A, and signals (e.g., AC signals, DC signals, etc.) are transmitted via inductors I3 and I4 of portion 602B. The combination of the capacitor and inductor I1 of section 602A operates at the resonant frequency f 1. In addition, the combination of the capacitor and inductor I4 of portion 602B operates at the resonant frequency f 2. Signal transmission via inductor I1 generates an electromagnetic field that couples with inductors I2, I3, and I4. Signal transmission via inductor I4 generates another electromagnetic field that couples with inductors I3, I2, and I1. The electromagnetic field generated by signal transmission through inductor I1 generates a voltage across inductor I2, a voltage across inductor I3, and a voltage across inductor I4, and in one embodiment, the generation of voltages is sometimes referred to herein as mutual coupling. Similarly, the electromagnetic field generated by signal transmission through inductor I4 generates a voltage across inductor I3, a voltage across inductor I2, and a voltage across inductor I1, and in some embodiments, the generation of voltages is sometimes referred to herein as mutual coupling.

When mutual coupling is achieved between section 602A and section 602B, filter 602 operates at or near the resonant frequency f1 (e.g., within 2% of each other, etc.) of the series combination of the capacitor of section 602A and inductor I1, and operates at or near the resonant frequency f2 of the series combination of the capacitor of section 602B and inductor I4. For example, when the coupling coefficient k between the portions 602A and 602B is 1 or close to 1 (e.g., greater than 0.9, etc.), the mutual inductance filter 602 operates at resonant frequencies f1 and f 2.

It should be noted that the RF power from the signal transmitted through 602A and 602B is filtered by filter 602. For example, RF power from an AC signal provided to the motor from an AC power source and from an AC signal received from the motor is filtered by filter 602. As another example, RF power from the AC signal provided by the AC power source to heater element HE1 and from the AC signal received from heater element HE1 is filtered by filter 602.

In one embodiment, load element LE1 is a thermocouple, and one node (e.g., a sensing junction, etc.) of load element LE1 is coupled to a temperature controller via inductor I1, and another node (e.g., a reference junction, etc.) of load element LE1 is coupled to a temperature controller via inductor I2. RF power from the signal received from the sensing junction of load element LE1 and from the signal received from the reference junction of load element LE1 are filtered by filter 602. Likewise, in this embodiment, load element LE2 is a thermocouple, and one node (e.g., sensing junction, etc.) of load element LE2 is coupled to the temperature controller via inductor I3 of portion 602B, and another node (e.g., reference junction, etc.) of load element LE2 is coupled to the temperature controller via inductor I4 of portion 602B. RF power from the AC signal received from the sensing junction of load element LE2 and from the AC signal received from the reference junction of load element LE2 are filtered by filter 602.

In one embodiment, the capacitors of portion 602B are excluded from filter 602. In this embodiment, the filter 602 operates at the resonant frequency f1 of the series combination of the capacitor and inductor I1 of the portion 602A.

In one embodiment, the capacitors of portion 602A are excluded from filter 602. In this embodiment, the filter 602 operates at the resonant frequency f2 of the series combination of the capacitor and inductor I4 of the portion 602B.

Fig. 7 is a schematic diagram of an embodiment of a mutual inductance filter to illustrate the mutual coupling achieved between portions 702A and 702B for filtering RF power from AC signals transmitted between HE1 and HE2 and AC power sources AC1 and AC 2. Portions 702A and 702B are also used to filter RF power from the AC signal transmitted between the engine and the AC power source. The portions 702A and 702B are collectively referred to as a mutual inductance filter 702.

The inductors I1, I2, I3, I4, I5, I6, I7, I8, I9 and I10 of the mutual inductance filter 702 are wound together so that the inductors are coupled to each other. The resonant frequency f1 of the series combination of the capacitor and the inductor of portion 702A is coupled from inductor I1 to inductor I10 via inductors I2 to I9 such that resonant frequency f1 is mutually coupled from portion 702A to portion 702B. Further, the resonant frequency f2 of the series combination of the capacitor of portion 702B and inductor I10 is coupled from inductor I10 to inductor I1 via inductors I9, I8, I7, I6, I5, I4, I3, and I2 such that resonant frequency f2 is mutually coupled from portion 702B to portion 702A.

It should be noted that the block 703 around the inductors I2 through I9(I2 thru I9) is shown to illustrate the mutual inductance between the inductors.

In one embodiment, rather than portion 702B being coupled to the engine and the AC power source, portion 702B is coupled between thermocouples TC1 and TC2 and the temperature controller. Portion 702B filters the signals sensed by thermocouples TC1 and TC 2.

It should be noted that in one embodiment, rather than the heater elements HE1 and HE2 being coupled to AC power supplies AC1 and AC2, the heater elements are coupled to a DC power supply. In one embodiment, rather than the motor being coupled to an AC power source, the motor is coupled to a DC power source.

Fig. 8A is an embodiment of a graph 800 and fig. 8B is an embodiment of a circuit diagram of a mutual inductance filter 804 to illustrate the transfer of the resonant frequency f1 from the series-coupled portion S1 of the portion 804A to the inductor I3 via the inductor I1 and the inductor I2. The mutual inductance filter includes portions 804A and 804B. Graph 800 depicts the attenuation of RF power in decibels (dB) versus frequency in megahertz (MHz) caused by series-coupled section S1 of section 804A, by inductor I1, by inductor I2, and by inductor I3. The mutual coupling between the inductors I0 to I3(I0 thru I3) results in the resonant frequency f1 of the series combination of the capacitor of the series coupling section S1 and the inductor I0 being coupled from the inductor I0 to the inductors I1, I2 and I3.

It should be noted that the graph 800 represents the attenuation of the RF power by each of the inductors I1 to I3(I0 thru I3) wound around each other and around the inductor I0, and represents the attenuation by the series coupling portion S1. The winding is performed manually. Where the winding is done by machine and the inductors I0 through I3(I0 thru I3) have the same inductance (e.g., the same length, the same wire diameter, the same number of windings, the same spacing of the wires wound around each inductor, the same material, etc.), the attenuation provided by the series coupling section S1 and the inductors I1 through I3(I0 thru I3) is the same or substantially the same.

Also, when the two inductors are wound at a defined pitch, the mutual inductance is the same or substantially the same (e.g., within 2% of each other, etc.) as the individual inductance of each of the inductors. If the mutual inductance is the same as a single inductance, then the mutual inductance doubles the inductance provided by each inductor. Further, the parasitic coupling between the two inductors is the same or substantially the same (e.g., within 2% of each other, etc.).

It should be noted that the blocks 803 around the inductors I1 through I3(I0 thru I3) are shown to illustrate the mutual inductance between the inductors.

Further, it should be noted that in one embodiment, one end of inductor I0 of series coupling portion S1 is coupled to heater element HE1 and the capacitor of series coupling portion S1 and the other end of inductor I0 is coupled to the power source (e.g., AC power source AC1, DC power source DC1, etc.) and the capacitor of series coupling portion S1. In addition, the inductor I1 is connected at one end to the heater element HE1 and at the other end to a power source, e.g., AC power source AC1, DC power source DC1, etc. The inductor I2 is connected at one end to the heater element HE2 and at the other end of the inductor I2 to a power source, e.g., AC power source AC2, DC power source DC2, etc. The inductor I3 is connected at one end to the heater element HE2 and at the other end of the inductor I3 to a power source, e.g., AC power source AC2, DC power source DC2, etc.

In one embodiment, one end of inductor I0 is coupled to the sensing junction of thermocouple TC1 and the capacitor of the series-coupled portion S1, and the other end of inductor I0 is coupled to the temperature controller and the capacitor of series-coupled portion S1. In addition, in this embodiment, inductor I1 is connected at one end to the reference junction of thermocouple TC1 and at the other end to a temperature controller. Inductor I2 is connected at one end to the sensing junction of thermocouple TC2 and at the other end to a temperature controller. Inductor I3 is connected at one end to the reference junction of thermocouple TC2 and at the other end to a temperature controller.

In one embodiment, one end of the inductor I0 is coupled to the first winding of the stator of the motor and the capacitor of the series coupling section S1, and the other end of the inductor I0 is coupled to the first phase of the power source (e.g., AC power source, DC power source, etc.) and the capacitor of the series coupling section S1. In addition, inductor I1 is connected to the first winding at one end and to the power supply at the other end. The inductor I2 is connected at one end to the second winding of the stator and at the other end to the AC power source. Inductor I3 is connected to the second winding at one end and to the AC power source at the other end.

Fig. 9 is a schematic diagram illustrating a cross-section of a mutual inductance filter 900 (e.g., an inductor, etc.). The mutual inductance filter 900 includes a filter element 902 (e.g., an inductor coupled to a capacitor, etc.), and a plurality of inductors 904A, 904B, 904C, and 904D. Each of the inductors 904A to 904D (904A thru 904D) is thicker than the inductor of the filter element 902. For example, the diameter D1 of the filter element 902 (e.g., inductor, etc.) is less than the diameter D2 of each of the inductors 904A-904D (904A thru 904D). In one embodiment, the inductors 904A-904D are different diameters from each other and have a diameter greater than diameter D1. A minimum amount of current passes through filter element 902 and a higher amount of current passes through each of inductors 904A through 904D. The higher amount of current is an amount greater than the minimum amount of current. For example, each of inductors 904A and 904C is connected to a separate power source (e.g., an AC power source, a DC power source, etc.) for receiving signals from the power source, and each of inductors 904B and 904D provides a path for signals to return from the separate resistive element. When there is mutual coupling between the inductors of the filter element and the inductors 904A to 904D (904A thru 904D), the mutual filter 900 filters out RF power from the supply signal generated by the power supply connected to the inductors 904A and 904C and also filters out RF power from the return signal returned from the resistive element. The RF power from the supply and return signals is filtered at the resonant frequency of the filter element 902. To achieve mutual coupling, the wires of filter element 902 are wound with the wires of inductors 904A to 904D (904A thru 904D), then wound to form the inductors of filter element 902 and inductors 904A to 904D (904A thru 904D), and then the inductors of filter element 902 are connected to capacitors to form mutual inductive filter 900. As another example, inductors 904A and 904C are connected to the reference junctions of two thermocouples, and inductors 904B and 904D are connected to the sensing junctions of the thermocouples. The RF power from the signal generated when the thermocouple senses a temperature difference is filtered by filter element 902. As yet another example, each inductor 904A and 904C is connected to a power source, such as an AC power source, a DC power source, etc., and each inductor 904B and 904D provides a return path from a separate stator winding of the motor. Filter element 902 filters RF power from the signal generated by the power supply connected to inductors 904A and 904C, and also filters RF power from the return signal returned from the stator windings.

The use of filter elements 902 that are not directly connected to a power source or power source nor to a resistive element nor to a winding protects filter elements 902 from excessive current. Further, the mutual inductance filter 900 in which the filter element 902 has a cross-sectional thickness smaller than that of each of the inductors 904A, 904B, 904C, and 904D is more compact than a package in which the filter element 902 has the same thickness as that of each of the inductors 904A, 904B, 904C, and 904D.

Fig. 10A is a schematic diagram of an embodiment of a graph 1000 illustrating a similar attenuation through mutually coupled inductors and a display of the same resonant frequencies f1 and f2 through elements of a mutual inductance filter 1002. Graph 1000 shows the attenuation of RF power through the inductor and capacitor of channel 1, the inductor of channel 2, the inductor of channel 3, and the inductor and capacitor of channel 4. The inductors and capacitors are the inductors and capacitors of a mutual inductance filter 1002, a prototype of which mutual inductance filter 1002 is shown in fig. 10B-1. The band-stop filtering characteristics of the mutual-inductance filter 1002 are shown in fig. 10A. Further, the circuit diagram of the mutual inductance filter 1002 is shown in FIG. 10B-2.

As shown in the prototype, four inductors were made by winding four wires to form one single body and then winding it so that the shape of the single body changed from a straight line to a spiral to change the shape of the single body. A first of the four inductors is connected in series to two capacitors and a second of the four inductors is connected in series to a single capacitor to make a prototype of the mutual inductance filter 1002. The two capacitors are connected in parallel with each other, and the combination of the two capacitors and the inductor connected in series with the two capacitors has a resonance frequency f 3. The combination of the second inductor and the capacitor connected in series with the second inductor has a resonant frequency f 4.

The inductor of channel 3 is mutually coupled with the inductors of channels 1, 2, and 4, and the mutual coupling results in the resonant frequency f3 being coupled from the inductor of channel 3 to the inductors of channels 1, 2, and 4. Further, the inductor of channel 2 is mutually coupled with the inductors of channels 1, 3, and 4, and the mutual coupling results in the resonant frequency f4 being coupled from the inductor of channel 2 to the inductors of channels 1, 3, and 4. Mutual coupling between the inductors of channels 1, 2, 3, and 4 results in similar or identical attenuation through the inductor and capacitor of channel 3, the inductor of channel 1, the inductor of channel 4, and the inductor and capacitor of channel 2.

It should be noted that the block 1003 surrounding the inductors connected to channels 1 and 4 is shown to illustrate the mutual inductance between the inductors.

It should be noted that as shown in graph 1000, the attenuation provided by the inductor and capacitor of channel 3 at frequency f3 is greater than, e.g., more negative, etc., the attenuation provided by the inductors of channels 1 and 4 at frequency f 3. The capacitor of channel 3 increases the attenuation associated with channel 3 compared to the attenuation provided by channel 1 or 4. Similarly, the attenuation provided by the inductor and capacitor of channel 2 at frequency f4 is greater than the attenuation provided by the inductors of channels 1 and 4 at frequency f 4. The capacitor of channel 2 increases the attenuation associated with channel 2 compared to the attenuation provided by channel 1 or 4. The difference between the attenuation provided by the channels connected to the capacitor and the channels not connected to the capacitor (e.g., the channels connected to the inductors rather than the capacitors, etc.) depends on a variable K, which is the ratio of L, which is the inductance of each inductor of each channel, and M, which is the mutual inductance between the two inductors. Furthermore, the difference value depends on parameters of the conductor of the inductor, such as resistive losses of the conductor of the inductor, etc.

It should also be noted that, in one embodiment, if the inductance of each of the inductors of channels 1 and 4 is the same (e.g., the inductors have the same wire diameter, the same wire length, the same wire material, etc.) and if the mutual coupling is 100% or substantially close to 100% (e.g., 99% to 100%, etc.), the attenuation provided by the inductors of channels 1 and 4 at each of frequencies f3 and f4 is the same or substantially the same (e.g., within 2% of each other, etc.).

In one embodiment, f3 is different from f 4. In one embodiment, f3 is the same as f 4.

It should also be noted that although two frequencies f3 and f4 are illustrated, in one embodiment, more than two frequencies are used. For example, in the case of N + M channels, M is the number of resonant frequencies, and N is the number of channel outputs. Each of N and M is an integer greater than zero. The output receives power from a power source or supplies power to a load.

In one embodiment, instead of two capacitors of channel 3 being coupled in parallel with each other, one capacitor or any other number of capacitors is used for coupling in parallel. In one embodiment, instead of two capacitors of channel 3 being coupled in parallel with each other, two capacitors of any other number of capacitors are coupled in series with each other.

Also, in one embodiment, several capacitors coupled in series or in parallel with each other are used instead of the capacitors of channel 2.

11A, 11B, 11C, and 11D show embodiments of graphs 1102, 1104, 1106, and 1108 to illustrate the attenuation associated with channels 1, 2, 3, and 4, respectively. The data in graph 1000 (fig. 10A) is separated to provide better visibility of the data associated with each channel 1, 2, 3, and 4 in graphs 1102, 1104, 1106, and 1108.

Fig. 12 is a schematic diagram for explaining the winding and winding of four inductors I1 through I4 to form a part of a mutual inductance filter. As shown, four wires are wound around each other at a defined interval and then wound to form four inductors I1 to I4 coupled to each other, thereby forming a part of a mutual inductance filter.

In one embodiment, any number (except four) of inductors are wound and wound at a predefined pitch to form part of a mutual inductance filter.

Some embodiments described herein may be implemented with various computer system configurations, including hand-held hardware units, microprocessor systems, microprocessor-based or programmable consumer electronics, minicomputers, mainframe computers, and the like. The embodiments may also be practiced in distributed computing environments where tasks are performed by remote processing hardware units that are linked through a computer network.

In some implementations, the controller is part of a system, which may be part of the embodiments described above. The system includes a semiconductor processing tool that includes one or more process tools, one or more chambers, one or more platforms for processing, and/or specific processing components (wafer susceptors, gas flow systems, etc.). The system is integrated with electronics for controlling the operation of a semiconductor wafer or substrate before, during, and after processing thereof. An electronic device is referred to as a "controller," which may control various components or subcomponents of one or more systems. The controller is programmed to control any of the processes disclosed herein, including the delivery of process gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, RF generator settings, RF match circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and operation settings, wafer transfer into and out of tools and other transfer tools and/or loadlocks connected to or interfaced with the system, depending on the process requirements and/or type of system.

In a broad sense, in various embodiments, the controller is defined as an electronic device having various integrated circuits, logic, memory, and/or software to receive instructions, issue instructions, control operations, implement purging operations, implement endpoint measurements, and so forth. An integrated circuit includes a chip in the form of firmware that stores program instructions, a Digital Signal Processor (DSP), a chip defined as an ASIC, a PLD, and/or one or more microprocessors or microcontrollers that execute program instructions (e.g., software). The program instructions are transmitted to the controller in the form of various individual settings (or program files), instructions defining operating parameters to be performed on or for processing of semiconductor wafers, or instructions transmitted to the system. In some embodiments, the operating parameters are part of a recipe defined by a process engineer to implement one or more process steps during the fabrication of one or more layer(s), material, metal, oxide, silicon dioxide, surface, circuit, and/or die of a wafer.

In some embodiments, the controller is part of or coupled to a computer that is integrated with or coupled to the system or otherwise networked to the system, or a combination of these. For example, the controller is in the "cloud" or in all or part of a manufacturing facility (fab) host computer system, enabling remote access to wafer processing. The computer is capable of remotely accessing the system to monitor the current progress of the manufacturing operation, study the history of past manufacturing operations, study trends or performance indicators from multiple manufacturing operations to change parameters of the current process, set process steps following the current process, or begin a new process.

In some embodiments, a remote computer (e.g., a server) provides process recipes to the system over a computer network, including a local network or the Internet. The remote computer includes a user interface that enables parameters and/or settings to be entered or programmed, which are then communicated from the remote computer to the system. In some embodiments, the controller receives instructions in the form of data specifying parameters for each of the process steps to be performed during one or more operations. It should be understood that the parameters are specific to the type of process to be performed and the type of tool that is interfaced with or controlled by the controller. Thus, as noted above, the controller is distributed, e.g., comprising one or more discrete controllers networked together and operating toward a common purpose (e.g., to achieve the processing and control described herein). Examples of distributed controllers for such purposes include one or more integrated circuits on the room that communicate with one or more integrated circuits located remotely (e.g., at the platform level or as part of a remote computer) that combine to control processing within the room.

In various embodiments, exemplary systems include, but are not limited to, plasma etch chambers or modules, deposition chambers or modules, spin rinse chambers or modules, metal plating chambers or modules, cleaning chambers or modules, bevel edge etch chambers or modules, Physical Vapor Deposition (PVD) chambers or modules, Chemical Vapor Deposition (CVD) chambers or modules, Atomic Layer Deposition (ALD) chambers or modules, Atomic Layer Etch (ALE) chambers or modules, ion implantation chambers or modules, track chambers or modules, and any other semiconductor processing system associated with or used in the preparation and/or fabrication of semiconductor wafers.

It is also noted that while the above described operation is applicable to several types of plasma chambers, for example, plasma chambers including Inductively Coupled Plasma (ICP) reactors, transformer coupled plasma reactors, capacitively coupled plasma reactors, conductor tools, insulator tools, plasma chambers including Electron Cyclotron Resonance (ECR) reactors, and the like.

As described above, the controller is in communication with one or more tool circuits or modules, other tool components, cluster tools, other tool interfaces, neighboring tools, tools located throughout the factory, a host computer, another controller, or a tool used in the transport of material for transporting the container of wafers to and from the location and/or load port of a tool of a semiconductor manufacturing factory, depending on the process step or steps to be performed by the tool.

With the above embodiments in mind, it should be understood that some embodiments employ various computer-implemented operations involving data stored in computer systems. The operations are those manipulating physical quantities. Any of the operations described herein that form part of the embodiments are useful machine operations.

Some embodiments also relate to a hardware unit or apparatus for performing these operations. The apparatus is specially configured for a specific purpose computer. When a computer is defined as a special purpose computer, the computer performs other processes, program operations, or programs that are not part of the special purpose application, while still being able to perform the special purpose application.

In some embodiments, the operations are performed by a selectively activated computer or configured by one or more computer programs stored in a computer memory, cache, or obtained over a computer network. When data is obtained over a computer network, the data may be processed by other computers on the computer network (e.g., a cloud of computing resources).

One or more embodiments described herein may also be prepared as computer readable code on a non-transitory computer readable medium. A non-transitory computer readable medium is any data storage hardware unit, e.g., a memory device, etc., that stores data which is then read by a computer system. Examples of non-transitory computer readable media include hard drives, Network Attached Storage (NAS), ROM, RAM, compact disk ROMs (CD-ROMs), CD-recordable (CD-Rs), CD-rewriteable (CD-RWs), magnetic tape, and other hardware units for optical and non-optical data storage. In some embodiments, the non-transitory computer readable medium includes a computer readable tangible medium distributed over a network coupled computer system so that the computer readable code is stored and executed in a distributed fashion.

While some of the method operations described above are described in a particular order, it should be understood that in various embodiments, other overhead operations are performed between the method operations, or the method operations are adjusted so that they occur at slightly different times, or are distributed in a system that enables the method operations to occur at various time intervals, or in a different order than described above.

It should also be noted that in one embodiment, one or more features of any embodiment described above are combined with one or more features of any other embodiment without departing from the scope described in the various embodiments described in this disclosure.

Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein, but may be modified within the scope and equivalents of the appended claims.

40页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:通信模块

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!