一种采用多个环形延迟链的tdc电路系统

文档序号:850808 发布日期:2021-03-16 浏览:11次 >En<

阅读说明:本技术 一种采用多个环形延迟链的tdc电路系统 (TDC circuit system adopting multiple annular delay chains ) 是由 张红升 李洁 马小东 刘红江 刘挺 丁太云 于 2020-12-11 设计创作,主要内容包括:本发明涉及一种采用多个环形延迟链的TDC电路系统,属于时间精密测量领域。该系统包括延迟器、与门电路和D触发器,且该系统通过将START信号同时引入环形结构的延迟线,然后在STOP信号来临时也同时引入各个延迟线上D触发器的时钟端口对延迟线状态进行采样,计算通过延迟单元的个数。本发明通过将每个环形延迟线的首个延迟单元以及末位的延迟单元用与门以及反相器代替,但延迟时间通过设计与其他延迟单元一致,这样此结构在不耗费其他资源的条件下,减少使用延迟单元以及触发器就达到了传统结构所达到的测量效果。(The invention relates to a TDC circuit system adopting a plurality of annular delay chains, belonging to the field of time precision measurement. The system comprises delay units, an AND gate circuit and D flip-flops, and the system samples the state of the delay line by simultaneously introducing a START signal into the delay line of a ring structure and then temporarily introducing a clock port of the D flip-flop on each delay line at the same time of a STOP signal, and calculates the number of the delay units passing through. The first delay unit and the last delay unit of each annular delay line are replaced by the AND gate and the inverter, but the delay time is consistent with other delay units through design, so that the structure reduces the use of the delay units and the triggers under the condition of not consuming other resources, and the measurement effect achieved by the traditional structure is achieved.)

一种采用多个环形延迟链的TDC电路系统

技术领域

本发明属于时间精密测量领域,涉及一种采用多个环形延迟链的TDC电路系统。

背景技术

TDC(Time to Digital Converters)即时间数字转换技术,又称时间数字转换器。是由多学科、多技术领域交叉形成的一门专业技术,包含了物理、数学、电路、信号处理和检测技术等内容。它能处理两个异步信号之间的时间间隔,将其转换为数字信号并存储,然后将数据传送给处理器进行运算。其在精密测量中有着极为重要的地位,许多应用领域的先进水平与时间间隔测量的精度密切相关。

日常生活中我们对时间的测量没有特别高的精度要求,但在高精度测量领域,往往要求时间数字转换器达到ps级的测时精度,对高性能时间数字转换器的研究就凸显出了重要性。时间数字转换器以其高精度的测量和优越的性能常常被用在雷达测距和激光测距领域、激光制导领域、航空航天领域、电子测量领域、医学领域以及高能物理等领域中。在激光测距领域,TDC通过对激光脉冲的时间间隔的测量,来获得待测物体的距离信息,再由此得到精确的位置信息;在航空航天领域,通过测量反射波与反射波之间的时间间隔来对飞行器的高度进行标定并准确导航;在电子测量领域中,TDC是信号发生仪、示波器、逻辑分析仪等器件的核心模块;在医学领域,TDC是正电子断层扫描仪的重要组成部分;在高能物理领域里面,TDC主要用于测量粒子的飞行时间,以达到甄别粒子种类的目的。在超声波液体流量测量中,TDC通过对先后接收到的顺流以及逆流超声波信号时间间隔的测量来实现流量计量。TDC在众多领域都扮演着极其重要的角色。

早期TDC电路通常由印刷线路板上的分立元件组成。且通常是模拟数字混合电路。由分立元件组成的TDC电路功耗高,面积大,稳定性差。随着超大规模集成电路VLSI技术的迅速发展出现了高精度的TDC芯片,在保持原有的高分辨率的前提下,提高了集成度,降低了功耗,并大大减小了电路的面积。TDC电路设计开始从传统的在PCB板上实现的模拟式电路逐渐进入数字化高集成度、低功耗、高性能电路时代,该类芯片也开始广泛应用于物理实验、工业测量以及军事国防当中,逐渐成为当今高精度时间测量领域的主流。

现有实现数字化TDC的技术手段目前主要可通过专用集成电路(ApplicationSpecific Integrated Circuit,ASIC)技术以及现场可编程门阵列(Field ProgrammableGate Array,FPGA)技术两种。后者设计成本低、开发周期短且具有很好的灵活性,但是由于受布局布线延迟的影响,基于FPGA的TDC精度较低;而基于ASIC的设计方式虽然设计周期比较长,但是能够达到较高的测试精度,这也是当前的研究热点之一。目前国内TDC的设计较多都基于FPGA进行研究与设计,面向工程应用的专用TDC芯片较少。其中利用FPGA的一般采用抽头延迟链的方法来进行设计,精度可达到百ps级精度;而在ASIC设计中,TI公司就采用基于环形振荡器以及计数器结构设计了一款TDC芯片:TDC-7200,其能达到55ps的最低分辨率。

发明内容

有鉴于此,本发明的目的在于提供一种采用多个环形延迟链的TDC电路系统,本设计相较于传统抽头延迟链结构,使用更少资源即可实现同等的测量结果。完成两个信号之间的高精度时间测量,同时也保持较大的动态测量范围。

为达到上述目的,本发明提供如下技术方案:

一种采用多个环形延迟链的TDC电路系统,该系统包括延迟器、与门电路和D触发器,且该系统通过输出的格雷码值判断通过多少个延迟器来计算两个信号之间的时间差值。

可选地,延迟单元包括与门电路和延迟器,且门电路与延迟器电连接构成环形结构,该环形结构与D触发器电连接,且该环形结构即为延迟线。

可选地,系统计算通过延迟单元的个数的步骤为:该系统通过将START信号同时引入环形结构的延迟线,然后在STOP信号来临时也同时引入各个延迟线上D触发器的时钟端口对延迟线状态进行采样,计算通过延迟单元的个数。

可选地,Q0、Q1、Q2、Q3以及Q4是d触发器采样后的输出结果,且分别与D触发器电连接。

可选地,该系统还包括测量控制电路、粗计数器、校准单元、编码电路、寄存器、ALU电路和SPI接口;

所述系统分别与寄存器、粗计数器、校准单元和TDC电路信号连接;

粗计数器和校准单元均与寄存器信号连接;

TDC电路与编码电路信号连接;

寄存器与ALU电路信号连接;

寄存器与SPI接口信号连接;

START以及STOP信号给TDC电路以及粗计数器单元;

其中粗计数器完成对外部时钟测量来达到粗计时的需求;

粗计数器模块通过将START以及STOP信号引入,使其对外部参考时钟进行计数,统计两个信号之间通过多少个时钟周期来得到粗测量时间的值;

校准单元即通过提前运用TDC电路对外部参考时钟的一个以及两个周期进行测量,将测得结果寄存下来,以便后面进行校准;

测量表达式如下:

T=Tclk×((Nc2-Nc1)+(Nf1-Nf2)/(Nj2-Nj1))

其中Nc2-Nc1代表对外部参考时钟计数的粗测量值,Nj1以及Nj2代表本设计TDC系统测量一个外部时钟周期和两个外部时钟周期测量值,Nf1代表START信号到下一个参考时钟的精细测量值,Nf2代表STOP信号到下一个参考时钟的精细测量值;

ALU电路通过测量表达式来计算时间间隔;

外部微处理器通过SPI通信接口读出时间间隔的值,然后进行数据的进一步处理计算,将结果显示在外部设备上。

本发明的有益效果在于:本发明设计通过将每个环形延迟线的首个延迟单元以及末位的延迟单元用与门以及反相器代替,但延迟时间通过设计与其他延迟单元一致,这样此结构在不耗费其他资源的条件下,减少使用了延迟单元以及d触发器采样单元,也能达到传统结构所达到的测量效果,同时输出结果是格雷码,相比传统抽头延迟线的温度计码更容易编码。

本发明的其他优点、目标和特征在某种程度上将在随后的说明书中进行阐述,并且在某种程度上,基于对下文的考察研究对本领域技术人员而言将是显而易见的,或者可以从本发明的实践中得到教导。本发明的目标和其他优点可以通过下面的说明书来实现和获得。

附图说明

为了使本发明的目的、技术方案和优点更加清楚,下面将结合附图对本发明作优选的详细描述,其中:

图1为抽头延迟线基本原理图;

图2为多个环形延迟线TDC电路原理图;

图3为整体测时系统结构图;

图4为TDC系统测量时序图;

图5为TDC系统测量流程图;

图6为TDC电路结构仿真图。

具体实施方式

以下通过特定的具体实例说明本发明的实施方式,本领域技术人员可由本说明书所揭露的内容轻易地了解本发明的其他优点与功效。本发明还可以通过另外不同的具体实施方式加以实施或应用,本说明书中的各项细节也可以基于不同观点与应用,在没有背离本发明的精神下进行各种修饰或改变。需要说明的是,以下实施例中所提供的图示仅以示意方式说明本发明的基本构想,在不冲突的情况下,以下实施例及实施例中的特征可以相互组合。

其中,附图仅用于示例性说明,表示的仅是示意图,而非实物图,不能理解为对本发明的限制;为了更好地说明本发明的实施例,附图某些部件会有省略、放大或缩小,并不代表实际产品的尺寸;对本领域技术人员来说,附图中某些公知结构及其说明可能省略是可以理解的。

本发明实施例的附图中相同或相似的标号对应相同或相似的部件;在本发明的描述中,需要理解的是,若有术语“上”、“下”、“左”、“右”、“前”、“后”等指示的方位或位置关系为基于附图所示的方位或位置关系,仅是为了便于描述本发明和简化描述,而不是指示或暗示所指的装置或元件必须具有特定的方位、以特定的方位构造和操作,因此附图中描述位置关系的用语仅用于示例性说明,不能理解为对本发明的限制,对于本领域的普通技术人员而言,可以根据具体情况理解上述术语的具体含义。

请参阅图1~图6,为一种采用多个环形延迟链的TDC电路设计系统。

如图1所示为抽头延迟线基本原理图,通过TDC与一组相同的延迟单元和与之相匹配的D触发器组成;图2为多个环形延迟线TDC电路原理图。

1、整体测时系统设计

目前所设计的TDC电路大多应用在高精端领域,如卫星导航、雷达定位以及核物理方面,只要求做到极高的精度来达到测量结果的准确性,而忽视了量程、芯片面积、功耗等方面的要求。因此,在此应用系统中,采用时间周期计数法与所设计TDC电路相结合来实现整体时间间隔测量。整体测时系统结构图如图3所示。

系统的工作原理如下:

(1)START以及STOP信号给精细测量单元以及粗计数器单元。其中精细测量单元采用所设计的TDC电路结构,粗计数器完成对外部时钟测量来达到粗计时的需求。

(2)格雷码需要通过编码器将其转换为二进制码,以便进行后续数据的处理。而粗计数器模块通过将START以及STOP信号引入,使其对外部参考时钟进行计数,统计两个信号之间通过了多少个时钟周期来得到粗测量时间的值。

(3)校准单元即通过提前运用精细测量单元对外部参考时钟的一个以及两个周期进行测量,将测得结果寄存下来,以便后面进行校准。系统测量时序图如图4。

测量表达式如下:

T=Tclk×((Nc2-Nc1)+(Nf1-Nf2)/(Nj2-Nj1))

(4)ALU运算单元通过测量表达式来计算时间间隔。整个系统测量流程图如图5所示。

外部微处理器通过SPI通信接口读出时间间隔的值,然后进行数据的进一步处理计算,将结果显示在外部设备上。

2、系统测试

通过本设计TDC架构对START以及STOP信号进行时间间隔测量。在ASIC设计中,采用两个反相器级联作为一个延迟单元,在优于65nmCOMS工艺下,一个延迟单元延迟时间不超过15ps。给定测量时间间隔138ps,通过测时系统后,经过仿真,输出为5位格雷码01101,转换为十进制即9,即测量结果为135ps,误差为3ps,在一个最低有效位(单个延迟单元时间)时间内,符合设计要求。仿真结果如图6所示。

最后说明的是,以上实施例仅用以说明本发明的技术方案而非限制,尽管参照较佳实施例对本发明进行了详细说明,本领域的普通技术人员应当理解,可以对本发明的技术方案进行修改或者等同替换,而不脱离本技术方案的宗旨和范围,其均应涵盖在本发明的权利要求范围当中。

9页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:一种基于PVT检测电路的时间-数字转换器

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!