Semiconductor device with a plurality of semiconductor chips

文档序号:910602 发布日期:2021-02-26 浏览:13次 中文

阅读说明:本技术 半导体装置 (Semiconductor device with a plurality of semiconductor chips ) 是由 徐志安 杨世海 章勋明 曹敏 于 2020-04-14 设计创作,主要内容包括:本公开提供一种半导体装置,其包含设置于栅极电极与基底之间的二维材料层。半导体装置包括铁电介电层、栅极电极以及源极/漏极区。铁电介电层设置于半导体基底上并与其接触,铁电介电层包括二维材料;栅极电极设置于铁电介电层上;源极/漏极区设置于栅极电极的两侧。(The present disclosure provides a semiconductor device including a two-dimensional material layer disposed between a gate electrode and a substrate. The semiconductor device includes a ferroelectric dielectric layer, a gate electrode, and source/drain regions. A ferroelectric dielectric layer disposed on and in contact with the semiconductor substrate, the ferroelectric dielectric layer comprising a two-dimensional material; the gate electrode is arranged on the ferroelectric dielectric layer; the source/drain regions are disposed at two sides of the gate electrode.)

1. A semiconductor device, comprising:

a ferroelectric dielectric layer disposed on and in contact with a semiconductor substrate, the ferroelectric dielectric layer comprising a two-dimensional material;

a gate electrode disposed on the ferroelectric dielectric layer; and

and a plurality of source/drain regions disposed at two sides of the gate electrode.

Technical Field

Embodiments of the present disclosure relate to semiconductor devices, and more particularly, to semiconductor devices having two-dimensional material layers.

Background

Semiconductor devices are used in various electronic products, such as: personal computers, mobile phones, digital cameras, and other electronic devices. Semiconductor devices are generally manufactured in the following manner: layers of insulating or dielectric layers, conductive layers, and semiconductor materials are sequentially deposited on a semiconductor substrate, and the various material layers are patterned using photolithographic techniques to form circuit elements and devices thereon.

By steadily decreasing the minimum feature size, the semiconductor industry continues to improve the packing density of various electronic devices (e.g., transistors, diodes, resistors, capacitors, etc.) so that more devices can be integrated into a given area. However, as the minimum feature size shrinks, other problems that should be addressed also arise.

Disclosure of Invention

It is an object of the embodiments of the present disclosure to provide a semiconductor device to solve at least one of the problems described above.

Embodiments of the present disclosure provide a semiconductor device including a ferroelectric dielectric layer, a gate electrode, and a plurality of source/drain regions. A ferroelectric dielectric layer disposed on and in contact with the semiconductor substrate, the ferroelectric dielectric layer comprising a two-dimensional material; the gate electrode is arranged on the ferroelectric dielectric layer; the source/drain regions are disposed at two sides of the gate electrode.

The embodiment of the disclosure provides a method for forming a semiconductor device, which includes: forming a two-dimensional material layer on a substrate, the two-dimensional material layer including a ferroelectric material; forming a dummy gate electrode on the two-dimensional material layer; etching the dummy gate electrode to form a first opening exposing the two-dimensional material layer; and forming a metal gate electrode in the opening.

The disclosed embodiment provides a semiconductor device, including: a gate stack comprising: a first interface layer on the substrate; the two-dimensional material layer is positioned on the first interface layer; the second interface layer is positioned on the two-dimensional material layer; and a gate electrode on the second interface layer; and source/drain regions adjacent to the gate stack.

Drawings

The embodiments of the disclosure are best understood from the following detailed description when read with the accompanying drawing figures. It should be noted that, in accordance with standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various elements may be arbitrarily expanded or reduced to clearly illustrate the features of the embodiments of the present disclosure.

Fig. 1, 2, 3A, 3B, 4-9, 10A, 10B, 11A, 11B, 12 and 13 are cross-sectional schematic diagrams illustrating intermediate stages in the fabrication of a mosfet, according to some embodiments.

Fig. 14A, 14B, 15A, and 15B are cross-sectional schematic diagrams illustrating finfet transistors, according to some embodiments.

The reference numbers are as follows:

50: substrate

50N,50P region

51 separation symbol

52 isolation region

53a first interface layer

53b second interface layer

54 two-dimensional material layer

56 dummy gate layer

58 first mask layer

60 second mask layer

66 dummy gate

68 first mask

70 second mask

72 gate seal spacer

74 gate spacer

76 epitaxial source/drain regions

77 contact etch stop layer

78 first interlayer dielectric

80 groove

82 gate electrode

82A backing layer

82B work function adjusting layer

82C filling material

83 area of

84 gate mask

86 second interlayer dielectric

88 gate contact

90 source/drain contact

92 fin

94 channel region

Detailed Description

The following disclosure provides many embodiments, or examples, for implementing different elements of embodiments of the disclosure. Specific examples of components and configurations thereof are described below to simplify the description of the embodiments of the present disclosure. These are, of course, merely examples and are not intended to limit the embodiments of the disclosure. For example, references in the description to a first element being formed on a second element may include embodiments in which the first and second elements are in direct contact, and may also include embodiments in which additional elements are formed between the first and second elements such that they are not in direct contact. In addition, embodiments of the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.

Also, spatially relative terms, such as "below" … …, "below," "lower," "above," "higher," and the like, may be used herein to facilitate describing one element or feature's relationship to another element or feature in the drawings. Spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. When the device is turned to a different orientation (rotated 90 degrees or otherwise), the spatially relative adjectives used herein will also be interpreted in terms of the turned orientation.

Various embodiments of the present disclosure provide improved gate dielectric layers and methods of forming the same for semiconductor devices. The gate dielectric layer may be formed of a material having a negative capacitance, such as a ferroelectric two-dimensional (2D) material. A gate dielectric layer may be formed on the substrate having the positive capacitance, and the thickness of the gate dielectric layer may be selected such that the negative capacitance of the gate dielectric layer matches the positive capacitance of the substrate. The semiconductor device comprising the gate dielectric layer can have an increased on-off current ratio (I)ON/IOFF) Increased gate voltage (V)G) And improved overall performance.

The embodiments discussed herein are discussed in the context of planar metal-oxide-semiconductor field-effect transistors formed using a gate-last process. In other embodiments, a gate-first process may be used. Some embodiments also contemplate multiple orientations for use in finfet transistors.

Fig. 1-9 are schematic cross-sectional views illustrating intermediate stages in fabricating a planar mosfet, according to some embodiments. Fig. 1 shows a substrate 50. The substrate 50 may be a semiconductor substrate, such as a bulk semiconductor (bulk semiconductor), a semiconductor-on-insulator (SOI) substrate, or other similar substrate, which may be doped (e.g., with p-type or n-type dopants) or undoped. The substrate 50 may be a wafer, such as a silicon wafer. Generally, a semiconductor-on-insulator substrate is a layer of semiconductor material formed on an insulating layer. For example, the insulating layer may be a Buried Oxide (BOX) layer, a silicon oxide layer, or other similar insulating layers. The insulating layer is provided on a substrate, which is usually a silicon substrate or a glass substrate. Other substrates, such as multi-layered or gradient substrates, may also be used. In some embodiments, the semiconductor material of the substrate 50 may include: silicon; germanium; a compound semiconductor comprising silicon carbide, gallium arsenide, gallium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor comprising SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP and/or GaInAsP; or a combination of the foregoing.

The substrate 50 has a region 50N and a region 50P. The region 50N may be used to form an N-type device, such as an N-type metal oxide semiconductor (NMOS) transistor, e.g., an N-type metal oxide semiconductor field effect transistor. The region 50P may be used to form a P-type device, such as a P-type metal oxide semiconductor (PMOS) transistor, e.g., a P-type metal oxide semiconductor field effect transistor. The region 50N may be physically separated from the region 50P (as indicated by separation 51), and any number of device features (e.g., other active devices, doped regions, isolation structures, or the like) may be disposed between the region 50N and the region 50P.

Fig. 2 illustrates the formation of isolation regions 52, such as Shallow Trench Isolation (STI) regions, in a substrate 50. Isolation regions 52 may be formed by forming recesses (not separately shown) in substrate 50. The recess may be formed by an appropriate etching process, such as: reactive Ion Etching (RIE), neutral particle beam etching (NBE), other similar processes, combinations of the foregoing. This etching process may be anisotropic.

An insulating material is then formed on the substrate and fills the recess. The insulating material may be an oxide (e.g., silicon oxide), a nitride, other similar materials, or combinations thereof, and may be formed by high density plasma chemical vapor deposition (HDP-CVD), Flow Chemical Vapor Deposition (FCVD) (e.g., chemical vapor deposition based material deposition in a remote plasma (remote plasma) system followed by a subsequent cure to convert it to another material, such as an oxide), other similar methods, or combinations thereof. Other insulating materials formed by any suitable process may also be used. In the illustrated embodiment, the insulating material is silicon oxide formed by a flow-through chemical vapor deposition process. The annealing process may be performed after the insulating material is formed. In one embodiment, the insulating material is formed such that excess insulating material covers the top surface of the substrate 50. Single or multiple layers of insulating material may be utilized. For example, in some embodiments, a liner layer (not separately shown) may be formed along the surface of the substrate 50 and filled into the grooves. Thereafter, a filler material, such as the materials discussed above, may be formed on the liner layer.

A removal process is performed on the insulating material to remove excess insulating material on the substrate 50. In some embodiments, a planarization process (e.g., chemical mechanical polishing), an etch-back (etch-back) process, a combination thereof, or the like may be utilized. After the planarization process is completed, the substrate 50 is exposed such that the substrate 50 is flush with the top surface of the isolation region 52.

Although not specifically shown, a suitable well region may be formed in the substrate 50. For example, the shape may be implemented in a p-type device (e.g., a p-type metal oxide semiconductor field effect transistor)An n-type well region is formed in the substrate 50 in the resulting region (e.g., in region 50P). An n-type well may be formed in the substrate 50 by forming a photoresist over the substrate 50. The photoresist is patterned to expose the region of the substrate 50 where the n-type well region is to be formed. The photoresist may be formed using spin-on technique (spin-on technique) and may be patterned using an appropriate photolithography technique. After the photoresist is patterned, n-type impurity implantation is performed using the photoresist as a mask to prevent n-type impurities from being implanted into the substrate 50 outside of an intended implantation region. The n-type impurity may be phosphorus, arsenic, antimony, or the like, implanted into the substrate 50 at a concentration of less than or equal to about 1018atoms/cm3E.g. from about 1016atoms/cm3To about 1018atoms/cm3. After implantation, the photoresist is removed by an appropriate process, such as ashing (ashing) or other similar process. Further, annealing may be performed after the implantation to activate the implanted impurities. Thereby forming an n-type well region in the substrate 50.

A p-type well region may also be formed in the substrate 50 in a region (e.g., in region 50N) where an N-type device (e.g., a p-type metal oxide semiconductor field effect transistor) is to be formed. The p-type well region may be formed using the same or similar processes as described above for forming the n-type well region. The p-type impurity for forming the p-type well region may be boron, Boron Fluoride (BF)2) Indium (In), or other like impurities, and the implant concentration may be less than or equal to about 1018atoms/cm3E.g. from about 1016atoms/cm3To about 1018atoms/cm3

Fig. 3A shows a two-dimensional material layer 54 formed over substrate 50 and isolation regions 52. The two-dimensional material layer 54 may be formed of a negative capacitance material, such as a ferroelectric material. For example, ferroelectric materials that may be used for the two-dimensional material layer 54 include: in2Se3、CuInP2S6(CIPS), SnTe, GeS, GeSe, SnS, SnSe, combinations of the foregoing or multilayers of the foregoing or other similar materials. The two-dimensional material layer 54 may be deposited by an appropriate technique, such as: chemical Vapor Deposition (CVD), Plasma Enhanced Chemical Vapor Deposition (PECVD), Metal Organic Chemical Vapor Deposition (MOCVD)) Atomic Layer Deposition (ALD), plasma assisted atomic layer deposition (PEALD), or other similar techniques. As shown in fig. 3A, the two-dimensional material layer 54 may be deposited directly on the substrate 50 and the isolation regions 52 without a material, such as an interfacial layer, disposed therebetween.

The capacitance of the two-dimensional material layer 54 depends on the number of layers of the two-dimensional material layer 54, and thus on the thickness of the two-dimensional material layer 54. For example, the number of deposited layers of the two-dimensional material layer 54 is increased, which may increase the capacitance (capacitance) of the two-dimensional material layer 54. The number of deposition layers of the two-dimensional material layer 54 may be controlled based on the following conditions: growth time, precursor flow rate (including reactant partial pressure), precursor amount, growth temperature, growth pressure, selection of a particular precursor, post-deposition annealing (post-deposition annealing) temperature, or other conditions. In embodiments where the two-dimensional material layer 54 is deposited by chemical vapor deposition, metal organic chemical vapor deposition, or similar process, the two-dimensional material layer 54 may be deposited at a temperature of about 700 ℃ to about 1100 ℃. In embodiments where the two-dimensional material layer 54 is deposited by atomic layer deposition, plasma-assisted atomic layer deposition, or similar process, the two-dimensional material layer 54 may be deposited at a temperature of about 100 ℃ to about 600 ℃. The two-dimensional material layer 54 may be deposited at a pressure of about 10Torr to 100 Torr. Depending on the precursors used to deposit the two-dimensional material layer 54, a post-deposition anneal may be performed on the two-dimensional material layer 54 at a temperature of about 500 ℃ to about 1100 ℃ for 5 minutes to 3 hours. In this manner, a two-dimensional layer 54 of material having a predetermined negative capacitance value may be deposited.

The substrate 50 has a positive capacitance CSAnd the two-dimensional material layer 54 has a negative capacitance CFE. By depositing a specific number of layers of the two-dimensional material layer 54, the negative capacitance C of the two-dimensional material layer 54 is setFEPositive capacitance with substrate CSMatching, resulting in an on/off current ratio (I) of the device comprising the two-dimensional material layer 54ON/IOFF) And a gate voltage (V)G) And (4) increasing. Thus, devices comprising the two-dimensional material layer 54 have improved performance. For advanced Complementary Metal Oxide Semiconductor (CMOS) technologies with a node less than or equal to 28nm, the two-dimensional material layer 54 may be 1 to 6 layers and have a thickness of about 1 to about 3nm, for example about 2 nm. At 28nm for the nodeThe two-dimensional material layer 54 may be 5 to 16 layers and have a thickness of about 3nm to about 8nm, such as about 5.5nm, in older cmos technologies. The capacitance of the two-dimensional material layer 54 may be matched to within ± 50% of the capacitance of the substrate 50.

FIG. 3B illustrates another embodiment in which a two-dimensional material layer 54 is formed on the substrate 50 and the isolation region 52, a first interface layer 53a is formed between the two-dimensional material layer and the substrate 50 and the isolation region 52, and a second interface layer 53B is formed on the two-dimensional material layer 54. The first and second interface layers 53a and 53b may be formed of an oxide material, such as silicon oxide, and may be formed by thermal oxidation, chemical oxidation, atomic layer deposition, or the like.

The first interface layer 53a and the second interface layer 53b may be formed of a material having a positive capacitance, and may be included to further adjust the capacitance of the device. As discussed with reference to FIG. 3A, the negative capacitance C of the two-dimensional material layer 54FEPositive capacitance C with substrate 50SAnd (6) matching. However, increasing or decreasing the number of layers of the two-dimensional material layer 54 may excessively increase or decrease the negative capacitance C of the two-dimensional material layer 54FEAnd the negative capacitance C of the two-dimensional material layer 54 cannot be setFEPositive capacitance C with substrate 50SAnd (6) matching. In the foregoing case, the first interface layer 53a and the second interface layer 53b may thus be included to provide fine tuning of the capacitance matching.

By selecting the two-dimensional material layer 54, the first interface layer 53a, and the second interface layer 53b with appropriate thicknesses, the negative capacitance C of the two-dimensional material layer 54 is setFEPositive capacitance with substrate CSAnd positive capacitance matching of the first interface layer 53a and the second interface layer 53b, thereby increasing the device-to-switching current ratio (I) of the combination comprising the two-dimensional material layer 54 and any of the first interface layer 53a and the second interface layer 53bON/IOFF) And gate voltage (V)G) And (4) controlling. Thus, devices comprising the two-dimensional material layer 54 and any combination of the first interface layer 53a and the second interface layer 53b have improved performance. The thickness of the two-dimensional material layer 54 is about 1nm to about 3nm, such as about 2nm, or about 3nm to about 8nm, such as about 5.5 nm; the thickness of the first interface layer 53a is about 0.5nm to about 3nm, for example about 1.75 nm; and the thickness of the second interface layer 53b is about 2nm to about 5nm, for exampleSuch as about 3.5 nm. Any of the embodiments described later may include only the two-dimensional material layer 54, the first interface layer 53a, and the two-dimensional material layer 54; a second interface layer 53b and a two-dimensional material layer 54; or a first interface layer 53a, a second interface layer 53b, and a two-dimensional material layer 54.

Fig. 4 illustrates a dummy gate layer 56, a first masking layer 58, and a second masking layer 60 formed over the two-dimensional material layer 54. The dummy gate layer 56 may be deposited over the two-dimensional material layer 54 and then planarized using a process, such as chemical mechanical polishing. The dummy gate layer 56 may be a conductive or non-conductive material and may be selected from the group consisting of amorphous silicon, polysilicon (polysilicon), poly-silicon germanium (poly-SiGe), metal nitrides, metal silicides, metal oxides, and metals. The deposition of dummy gate layer 56 may be by physical vapor deposition, chemical vapor deposition, sputtering (sputter) deposition or other techniques known and used in the art for depositing the selected material. Dummy gate layer 56 may be formed of other materials having a high etch selectivity in the etching of isolation region 52.

A first masking layer 58 is formed on the dummy gate layer 56 and a second masking layer 60 is formed on the first masking layer 58. In one embodiment, first masking layer 58 may comprise silicon nitride and second masking layer 60 may comprise silicon oxide (formed from a precursor, such as Tetraethylorthosilicate (TEOS)); however, one of the first mask layer 58 and the second mask layer 60 may include: silicon nitride, silicon oxide, silicon carbide, silicon oxycarbide, silicon oxynitride, other similar materials, or combinations of the foregoing. The first masking layer 58 and the second masking layer 60 may be deposited by, for example: chemical vapor deposition, atomic layer deposition, other similar processes, or combinations of the foregoing.

Fig. 5-10B illustrate various additional steps in the manufacture of the device of an embodiment. The components shown in fig. 5 to 10B are in one of the region 50N and the region 50P. For example, the structures illustrated in fig. 5-10B may be applied to both region 50N and region 50P. The structural differences (if any) between the regions 50N and 50P are described in the corresponding description of each figure.

In fig. 5, second masking layer 60 (see fig. 4) and first masking layer 58 may be patterned using suitable photolithography and etching techniques to form first mask 68 and second mask 70. The pattern of the first and second masks 68, 70 may then be transferred to the dummy gate layer 56 to form the dummy gate 66. In some embodiments, the pattern of the first mask 68 and the second mask 70 may also be transferred to the two-dimensional material layer 54 by an appropriate etching technique. Dummy gates 66 overlie respective channel regions of substrate 50. The pattern of the first and second masks 68, 70 may be used to physically separate each dummy gate 66 from adjacent dummy gates 66.

Implantation of lightly doped source/drain (LDD) regions (not separately shown) may be performed after formation of dummy gate 66, first mask 68, and second mask 70. In embodiments where different device types are formed in the region 50N and the region 50P, similar to the implantation discussed above in fig. 2, a mask, such as a photoresist, may be formed over the region 50N to expose the region 50P, and an impurity of an appropriate type (e.g., P-type) may be implanted into the exposed portion of the substrate 50 in the region 50P. The mask can then be removed. Subsequently, a mask, such as a photoresist, may be formed over the region 50P to expose the region 50N, and an appropriate type of impurity (e.g., N-type) may be implanted into the exposed portion of the substrate 50 in the region 50N. The mask can then be removed. The n-type impurity can be any of the n-type impurities previously discussed, and the p-type impurity can be any of the p-type impurities previously discussed. The impurity concentration of the lightly doped source/drain region may be about 1015atoms/cm3To about 1019atoms/cm3. Annealing may be used to repair implant damage and activate implanted impurities.

In fig. 6, gate seal spacers 72 and gate spacers 74 are formed on exposed surfaces of dummy gate 66, first mask 68, second mask 70, and/or substrate 50. The gate seal spacers 72 may be formed by thermal oxidation or deposition (e.g., chemical vapor deposition, atomic layer deposition, or other similar process). The gate seal spacers 72 may be formed of silicon oxide, silicon nitride, silicon oxynitride, or other similar materials. Gate spacers 74 are then formed on gate seal spacers 72 along sidewalls of dummy gate 66, first mask 68, and second mask 70. Gate spacers 74 may be formed by conformably depositing an insulating material over gate seal spacers 72. Gate seal spacers 72 and gate spacers 74 may then be anisotropically etched to form the structure shown in fig. 6. The insulating material of the gate spacer 74 may be silicon oxide, silicon nitride, silicon oxynitride, silicon carbide nitride (sic), combinations thereof, or the like.

It should be noted that the above disclosure generally describes the process of forming spacers and lightly doped source/drain regions. Other processes and sequences may be used. For example, fewer or additional spacers may be utilized, a different sequence of steps may be utilized (e.g., gate seal spacer 72 may be etched prior to forming gate spacer 74, resulting in an "I-shaped" gate seal spacer), multiple spacers may be formed and removed, and/or other similar processes and sequences. In addition, different structures and steps may be used to form n-type and p-type devices. For example, lightly doped source/drain regions for n-type devices may be formed before forming the gate seal spacers 72, while lightly doped source/drain regions for p-type devices may be formed after forming the gate seal spacers 72.

In fig. 7, epitaxial source/drain regions 76 are formed in the substrate 50 to apply stress in the respective channel regions to improve performance. Epitaxial source/drain regions 76 are formed in substrate 50 such that each dummy gate 66 is disposed between each adjacent pair of epitaxial source/drain regions 76. In some embodiments, gate spacers 74 and gate seal spacers 72 are used to separate epitaxial source/drain regions 76 from dummy gate 66 by an appropriate lateral distance so that epitaxial source/drain regions 76 do not cause gate shorting of a subsequently formed mosfet.

Epitaxial source/drain regions 76 in region 50N (e.g., an N-type mos region) may be formed by masking region 50P (e.g., a P-type mos region) and then etching the source/drain regions of substrate 50 in region 50N to form recesses in substrate 50. Epitaxial source/drain regions 76 of the growth region 50N are then epitaxially grown in the recesses. Epitaxial source/drain regions 76 may comprise any suitable material, such as a material suitable for n-type metal oxide semiconductor field effect transistors. For example, if substrate 50 is silicon, epitaxial source/drain regions 76 in region 50N may comprise a material that exerts a tensile strain (tensile strain) in the channel region, such as silicon, silicon carbide, phosphorus-doped silicon carbide, silicon phosphide, or other similar materials. The epitaxial source/drain regions 76 in the region 50N may have surfaces that are raised from corresponding surfaces of the substrate 50 and may have facets.

Epitaxial source/drain regions 76 in region 50P (e.g., a P-type mos region) may be formed by masking region 50N (e.g., an N-type mos region) and then etching the source/drain regions of substrate 50 in region 50P to form recesses in substrate 50. Epitaxial source/drain regions 76 of the growth region 50P are then epitaxially grown in the recesses. Epitaxial source/drain regions 76 may comprise any suitable material, such as a material suitable for a p-type metal oxide semiconductor field effect transistor. For example, if substrate 50 is silicon, epitaxial source/drain regions 76 in regions 50P may comprise a material that exerts a compressive strain (compressive strain) in the channel region, such as silicon germanium, boron doped silicon germanium, germanium tin, or other similar materials. The epitaxial source/drain regions 76 in the region 50P may have surfaces that are raised from corresponding surfaces of the substrate 50 and may have facets.

Epitaxial source/drain regions 76 and/or substrate 50 may be implanted with dopants to form source/drain regions, similar to the process for forming lightly doped source/drain regions previously discussed, followed by an anneal. The impurity concentration of epitaxial source/drain regions 76 is about 1019atoms/cm3To about 1021atoms/cm3. The n-type and/or p-type impurities for the source/drain regions may be any of the impurities previously discussed. In some embodiments, epitaxial source/drain regions 76 may be doped in-situ (in situ) during growth.

In fig. 8, a first interlayer dielectric (ILD) is deposited over the structure shown in fig. 7. The first interlayer dielectric 78 may be formed of a dielectric material and may be deposited by any suitable method, such as: chemical vapor deposition, plasma-assisted chemical vapor deposition, flow-through chemical vapor deposition, or the like. The dielectric material may include phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass (BPSG), Undoped Silicate Glass (USG), or the like. Other insulating materials formed by any suitable method may be used. In some embodiments, a Contact Etch Stop Layer (CESL)77 is disposed between the first interlayer dielectric 78 and the epitaxial source/drain regions 76, the second mask 70, the gate seal spacers 72, and the gate spacers 74. The contact etch stop layer 77 may comprise a dielectric material, such as: silicon nitride, silicon oxide, silicon oxynitride, or other similar material, with an etch rate different from the etch rate of the material of the first interlayer dielectric 78 above it.

In fig. 9, a planarization process, such as a chemical mechanical polish, may be performed to level the top surface of the first interlayer dielectric 78 with the top surface of the dummy gate 66, the first mask 68, or the second mask 70. The planarization process may remove the second mask 70 or the second mask 70 and the first mask 68 on the dummy gate 66 and a portion of the gate seal spacers 72 and the gate spacers 74 along the sidewalls of the first mask 68 and the second mask 70. After the planarization process, the top surfaces of dummy gate 66, gate seal spacer 72, gate spacer 74, and first interlayer dielectric 78 are flush. Thus, the top surface of dummy gate 66 is exposed through first interlayer dielectric 78. In some embodiments, the first mask 68 or the first and second masks 68, 70 may be left, in which case the planarization process makes the top surface of the first interlayer dielectric 78 flush with the top surface of the first or second mask 68, 70.

In fig. 10A and 10B, an etching process is performed to remove the dummy gate 66 to form a recess 80. As shown in fig. 10A, after the dummy gate 66 is removed and the two-dimensional material layer 54 is exposed through the recess 80, the two-dimensional material layer 54 remains. Similarly, in the embodiment including the first and second interface layers 53a and 53B, as shown in fig. 10B, after the dummy gate 66 is removed and the second interface layer 53B is exposed through the recess 80, the second interface layer 53B is left. In some embodiments, the dummy gate 66 is removed by an anisotropic dry etch process. For example, the etching process may include a dry etching process that selectively etches the dummy gate 66 using the reactive gas without etching the first interlayer dielectric 78, the two-dimensional material layer 54, the second interface layer 53b, the gate seal spacer 72, or the gate spacer 74. Each groove 80 covers a respective channel region of the substrate 50. Each channel region is disposed between an adjacent pair of epitaxial source/drain regions 76. During the removal, when the dummy gate 66 is etched, the two-dimensional material layer 54 or the second interface layer 53b may be used as an etch stop layer.

In fig. 11A, a gate electrode 82 is formed as a replacement gate. Fig. 11B shows a detailed view of region 83 of fig. 11A. A gate electrode 82 is deposited on the two-dimensional material layer 54 and fills the recess 80. The gate electrode 82 may include a metal-containing material, such as: titanium nitride, titanium oxide, tantalum nitride, tantalum carbide, cobalt, ruthenium, aluminum, tungsten, combinations thereof, or multilayers thereof. For example, although fig. 11A illustrates a single layer gate electrode 82, the gate electrode 82 may include any number of liner layers 82A, any number of work function adjusting layers 82B, and a fill material 82C, as shown in fig. 11B. After filling the recess 80, a planarization process, such as a chemical mechanical polishing, may be performed to remove excess portions of the material of the gate electrode 82, which are located on the top surface of the first interlayer dielectric 78. The gate electrode 82 and the remaining portion of the material of the two-dimensional material layer 54 thereby form a replacement gate of the mosfet. The gate electrode 82 and the two-dimensional material layer 54 may be collectively referred to as a "gate stack". The gate and gate stack may extend over the channel region of the substrate 50.

Gate electrode 82 may be formed in both region 50N and region 50P such that gate electrode 82 of each region is formed of the same material. In some embodiments, the gate electrode 82 of each region may be formed by a different process, such that the gate electrode 82 may be a different material. When using a different process, various masking steps may be used to mask and expose the appropriate areas.

In fig. 12, a second interlayer dielectric 86 is deposited over the first interlayer dielectric 78. In some embodiments, the second interlayer dielectric 86 is a flowable film formed by flow-through chemical vapor deposition. In some embodiments, the second interlayer dielectric 86 is formed of a dielectric material, such as: phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass (BPSG), Undoped Silicate Glass (USG), or similar materials, and may be deposited by any suitable method, such as: chemical vapor deposition, plasma-assisted chemical vapor deposition, or the like. According to some embodiments, prior to forming the second interlayer dielectric 86, the gate stack (e.g., gate electrode 82) is recessed such that a recess (not separately shown) is formed directly on the gate stack and between two portions of the gate seal spacer 72, as shown in fig. 12. A gate mask 84 comprising one or more layers of dielectric material, such as silicon nitride, silicon oxynitride or the like, is filled in the recess and a planarization process is then performed to remove excess portions of the dielectric material extending above the first interlayer dielectric 78. A subsequently formed gate contact, such as gate contact 88 shown in fig. 13, may be passed through gate mask 84 to contact the top surface of recessed gate electrode 82.

In fig. 13, according to some embodiments, a gate contact 88 is formed through the second interlayer dielectric 86 and the gate mask 84, and a source/drain contact 90 is formed through the second interlayer dielectric 86 and the first interlayer dielectric 78. Openings (not separately shown) for gate contacts 88 are formed through the second interlayer dielectric 86 and the gate mask 84, and openings for source/drain contacts 90 are formed through the second interlayer dielectric 86 and the first interlayer dielectric 78. Appropriate photolithography and etching techniques may be used to form the aforementioned openings. A liner (not separately shown) is formed in the opening, for example: a diffusion barrier layer, an adhesive layer or other similar layer, and a conductive material (not separately shown). The liner may include: titanium, titanium nitride, tantalum nitride, or other similar materials. The conductive material may be: copper, copper alloys, silver, gold, tungsten, cobalt, aluminum, nickel, or other similar materials. A planarization process, such as chemical mechanical polishing, may be performed to remove excess material from the surface of the second interlayer dielectric 86. The remaining liner and conductive material form gate contacts 88 and source/drain contacts 90 in the openings. An annealing process may be performed to form silicide at the interface between epitaxial source/drain regions 76 and source/drain contacts 90. Source/drain contact 90 is physically and electrically coupled to epitaxial source/drain region 76, and gate contact 88 is physically and electrically coupled to gate electrode 82. The gate contact 88 and the source/drain contacts 90 may be formed by different processes or may be formed by the same process. Although the gate contact 88 and the source/drain contact 90 are shown as being formed in the same cross-section, it should be understood that each of the gate contact 88 and the source/drain contact 90 may be formed in a different cross-section, thus avoiding contact shorting.

A semiconductor device including the two-dimensional material layer 54 alone or a semiconductor device including a combination of the two-dimensional material layer 54, the first interface layer 53a, and the second interface layer 53b is formed such that the positive capacitance of the substrate 50, the first interface layer 53a, and the second interface layer 53b is matched to the negative capacitance of the two-dimensional material layer 54. So as to control the switching current ratio (I) of the semiconductor deviceON/IOFF) And gate voltage (V)G) Increase and improve device performance.

Fig. 14A and 14B illustrate a fin field effect transistor (FinFET) including a two-dimensional material layer 54, and fig. 15A and 15B illustrate a FinFET including a two-dimensional material layer 54, a first interface layer 53a, and a second interface layer 53B. The finfet includes a fin 92 extending from a substrate 50 (e.g., a semiconductor substrate). Isolation regions 52 are disposed in substrate 50 and fins 92 protrude therefrom between adjacent isolation regions 52. Although isolation regions 52 are depicted/shown as being separate from substrate 50, the term "substrate" herein may refer to a semiconductor substrate only or a semiconductor substrate that includes isolation regions. Further, although fin 92 is shown as a single, continuous material with base 50, fin 92 and/or base 50 may comprise a single material or multiple materials. Fin 92 is referred to herein as an extension between adjacent isolation regions 52.

The two-dimensional material layer 54 or the two-dimensional material layer 54, the first interface layer 53a, and the second interface layer 53b are disposed along the sidewalls of the fin 92 and on the top surface of the fin 92, and the gate electrode 82 is disposed on the two-dimensional material layer 54 or the second interface layer 53 b. The two-dimensional material layer 54 or first interface layer 53a covers the individual channel regions 94 of the fins 92. Source/drain regions 76 are disposed on opposite sides of fin 92 relative to gate electrode 82. Fig. 14A and 15A show cross-sections along the longitudinal axis of the gate electrode 82 and in a direction, for example, perpendicular to the direction of current flow between the source/drain regions 76 of the finfet. The cross-sections shown in fig. 14B and 15B are perpendicular to the cross-sections shown in fig. 14A and 15A and along the longitudinal axis of the fin 92 and in a direction, for example, in the direction of current flow between the source/drain regions 76 of the finfet.

Including a single two-dimensional material layer 54 or a combination of the two-dimensional material layer 54, the first interface layer 53a, and the second interface layer 53b in the finfet enables the positive capacitance of the substrate 50, the first interface layer 53a, and the second interface layer 53b to be matched to the negative capacitance of the two-dimensional material layer 54. So as to control the switching current ratio (I) of the semiconductor deviceON/IOFF) And gate voltage (V)G) Increase and improve device performance.

According to an embodiment, a semiconductor device includes: a ferroelectric dielectric layer disposed on and in contact with the semiconductor substrate, the ferroelectric dielectric layer comprising a two-dimensional material; a gate electrode disposed on the ferroelectric dielectric layer; and a plurality of source/drain regions disposed at both sides of the gate electrode. In one embodiment, the ferroelectric dielectric layer comprises In2Se3, CuInP2S6(CIPS), SnTe, GeS, GeSe, SnS, or SnSe. In one embodiment, the gate electrode is in physical contact with the ferroelectric dielectric layer. In one embodiment, the ferroelectric memory further comprises an interface layer disposed between the ferroelectric dielectric layer and the gate electrode, the interface layer comprising an oxide. In one embodiment, the thickness of the interfacial layer is 2nm to 5 nm. In one embodiment, the ferroelectric dielectric layer has a thickness of 1nm to 3 nm. In one embodiment, the ferroelectric dielectric layer has a thickness of 3nm to 8 nm. In one embodiment, the semiconductor device further comprises a fin extending from the semiconductor substrate, and the ferroelectric dielectric layer and the gate electrode are disposed on the fin.

According to another embodiment, a method of forming a semiconductor device includes: forming a two-dimensional material layer on a substrate, the two-dimensional material layer including a ferroelectric material; forming a dummy gate electrode on the two-dimensional material layer; etching the dummy gate electrode to form a first opening exposing the two-dimensional material layer; and forming a metal gate electrode in the opening. In one embodiment, the two-dimensional material layer is formed using chemical vapor deposition or plasma-assisted chemical vapor deposition. In one embodiment, the method further comprises forming a first interface layer on the substrate before forming the two-dimensional material layer. In one embodiment, forming a second interface layer on the two-dimensional material layer before forming the dummy gate electrode is further included. In one embodiment, the thickness of the first interface layer is 0.5nm to 3nm and the thickness of the second interface layer is 2nm to 5 nm. In one embodiment, the first interface layer and the second interface layer are formed using thermal oxidation or atomic layer deposition.

According to another embodiment, a semiconductor device includes: a gate stack comprising: a first interface layer on the substrate; the two-dimensional material layer is positioned on the first interface layer; the second interface layer is positioned on the two-dimensional material layer; and a gate electrode on the second interface layer; and source/drain regions adjacent to the gate stack. In one embodiment, the two-dimensional material layer comprises a ferroelectric material. In one embodiment, the two-dimensional material layer comprises In2Se3, CuInP2S6(CIPS), SnTe, GeS, GeSe, SnS, or SnSe. In one embodiment, the thickness of the two-dimensional material layer is 1nm to 3 nm. In one embodiment, the first interfacial layer and the second interfacial layer comprise an oxide. In one embodiment, the thickness of the first interface layer is 0.5nm to 3nm and the thickness of the second interface layer is 2nm to 5 nm.

The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art to which the disclosure pertains will also appreciate that such equivalent constructions do not depart from the spirit and scope of the disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the disclosure.

28页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:一种消除负阻效应的逆导型横向绝缘栅双极型晶体管

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!