Semiconductor structure and forming method thereof

文档序号:910610 发布日期:2021-02-26 浏览:2次 中文

阅读说明:本技术 半导体结构及其形成方法 (Semiconductor structure and forming method thereof ) 是由 周飞 于 2019-08-23 设计创作,主要内容包括:一种半导体结构及其形成方法,结构包括:衬底,所述衬底上具有鳍部结构,所述鳍部结构包括沟道区、源区和漏区,所述沟道区位于所述源区和漏区之间,所述沟道区包括第一纳米线和位于第一纳米线上的第二纳米线,所述第一纳米线内具有第一阈值电压调节离子,所述第二纳米线内具有第二阈值电压调节离子,所述第一纳米线和衬底以及源区和漏区之间具有第一开口,所述第二纳米线和第一纳米线以及源区和漏区之间具有第二开口;位于所述第一开口内且横跨所述第一纳米线的第一栅极结构,所述第一栅极结构环绕所述第一纳米线;位于所述第二开口内且横跨所述第二纳米线的第二栅极结构,所述第二栅极结构环绕所述第二纳米线。所述半导体结构的性能得到提升。(A semiconductor structure and method of forming the same, the structure comprising: the semiconductor device comprises a substrate, wherein a fin structure is arranged on the substrate, the fin structure comprises a channel region, a source region and a drain region, the channel region is located between the source region and the drain region, the channel region comprises a first nanowire and a second nanowire located on the first nanowire, a first threshold voltage regulating ion is arranged in the first nanowire, a second threshold voltage regulating ion is arranged in the second nanowire, a first opening is arranged between the first nanowire and the substrate as well as between the source region and the drain region, and a second opening is arranged between the second nanowire and the first nanowire as well as between the source region and the drain region; a first gate structure located within the first opening and spanning the first nanowire, the first gate structure surrounding the first nanowire; a second gate structure located within the second opening and spanning the second nanowire, the second gate structure surrounding the second nanowire. The performance of the semiconductor structure is improved.)

1. A semiconductor structure, comprising:

the semiconductor device comprises a substrate, wherein a fin structure is arranged on the substrate, the fin structure comprises a channel region, a source region and a drain region, the channel region is located between the source region and the drain region, the channel region comprises a first nanowire and a second nanowire located on the first nanowire, a first threshold voltage regulating ion is arranged in the first nanowire, a second threshold voltage regulating ion is arranged in the second nanowire, a first opening is arranged between the first nanowire and the substrate as well as between the source region and the drain region, and a second opening is arranged between the second nanowire and the first nanowire as well as between the source region and the drain region;

a first gate structure located within the first opening and spanning the first nanowire, the first gate structure surrounding the first nanowire;

a second gate structure located within the second opening and spanning the second nanowire, the second gate structure surrounding the second nanowire.

2. The semiconductor structure of claim 1, in which the first threshold voltage adjusting ions and the second threshold voltage adjusting ions are of opposite types; when the semiconductor structure is of an N type, the first threshold voltage regulating ion type is of an N type, and the second threshold voltage regulating ion type is of a P type; when the semiconductor structure is of a P type, the first threshold voltage regulating ion is of a P type, and the second threshold voltage regulating ion is of an N type; the first threshold voltage adjusting ion concentration range is 5.0E17 atoms per cubic centimeter to 7.0E19 atoms per cubic centimeter; the second threshold voltage adjusting ion concentration range is 0-4.0E 19 atoms per cubic centimeter.

3. The semiconductor structure of claim 1, in which the first threshold voltage adjusting ions and the second threshold voltage adjusting ions are of the same type; when the semiconductor structure is of an N type, the first threshold voltage adjusting ion type and the second threshold voltage adjusting ion type are of N types; when the semiconductor structure is of a P type, the first threshold voltage adjusting ion type and the second threshold voltage adjusting ion type are of a P type; the concentration of the first threshold voltage adjusting ions is greater than the concentration of the second threshold voltage adjusting ions; the concentration range of the first threshold voltage adjusting ions is 1.5E18 atoms per cubic centimeter to 1.0E20 atoms per cubic centimeter; the concentration range of the second threshold voltage regulating ions is 0-8.0E 19 atoms per cubic centimeter.

4. The semiconductor structure of claim 1, wherein the first gate structure further comprises: a first work function layer; the second gate structure further comprises: a second work function layer, the second work function layer being different from the first work function layer.

5. The semiconductor structure of claim 4, wherein the first work function layer and the second work function layer are of the same type; when the semiconductor structure is an N-type semiconductor structure, the material of the first work function layer and the material of the second work function layer are N-type work function materials; when the semiconductor structure is a P-type semiconductor structure, the material of the first work function layer and the material of the second work function layer are P-type work function materials; the P-type work function material comprises tantalum nitride or aluminum nitride; the N-type work function material comprises titanium aluminum; the thickness of the first work function layer is larger than that of the second work function layer; the thickness range of the first work function layer is 25-120 angstroms; the thickness range of the second work function layer is 0-100 angstroms.

6. The semiconductor structure of claim 4, wherein the first work function layer and the second work function layer are of opposite type; when the semiconductor structure is an N-type semiconductor structure, the first work function layer is made of an N-type work function material, and the second work function layer is made of a P-type work function material; when the semiconductor structure is a P-type semiconductor structure, the second work function layer is made of a P-type work function material, and the second work function layer is made of an N-type work function material; the P-type work function material comprises tantalum nitride or aluminum nitride; the N-type work function material comprises titanium aluminum; the thickness range of the first work function layer is 30-130 angstroms; the thickness range of the second work function layer is 0-20 angstroms.

7. A method of forming a semiconductor structure as claimed in any one of claims 1 to 6.

8. A semiconductor structure, comprising:

the semiconductor device comprises a substrate, wherein a fin structure is arranged on the substrate, the fin structure comprises a channel region, a source region and a drain region, the channel region is positioned between the source region and the drain region, the channel region comprises a third nanowire and a fourth nanowire positioned on the third nanowire, third openings are formed between the third nanowire and the substrate as well as between the source region and the drain region, and fourth openings are formed between the fourth nanowire and the third nanowire as well as between the source region and the drain region;

a third gate structure located within the third opening and spanning the third nanowire, the third gate structure surrounding the third nanowire, the third gate structure comprising a third work function layer;

a fourth gate structure located within the fourth opening and spanning the fourth nanowire, the fourth gate structure surrounding the fourth nanowire, the fourth gate structure including a fourth work function layer, the fourth work function layer being different from the third work function layer.

9. The semiconductor structure of claim 8, wherein the third work function layer and the fourth work function layer are of the same type, the third work function layer having a thickness greater than the fourth work function layer.

10. The semiconductor structure of claim 9, wherein when the semiconductor structure is N-type, the material of the third work function layer and the material of the fourth work function layer are N-type work function materials; when the semiconductor structure is a P-type semiconductor structure, the material of the third work function layer and the material of the fourth work function layer are P-type work function materials; the P-type work function material comprises tantalum nitride or aluminum nitride; the N-type work function material comprises titanium aluminum; the thickness range of the third work function layer is 25-120 angstroms; the thickness range of the fourth work function layer is 0-100 angstroms.

11. The semiconductor structure of claim 8, wherein the third work function layer and the fourth work function layer are of opposite type; when the semiconductor structure is an N-type semiconductor structure, the third work function layer is made of an N-type work function material, and the fourth work function layer is made of a P-type work function material; when the semiconductor structure is a P-type semiconductor structure, the third work function layer is made of a P-type work function material, and the fourth work function layer is made of an N-type work function material; the P-type work function material comprises tantalum nitride or aluminum nitride; the N-type work function material comprises titanium aluminum; the thickness range of the third work function layer is 30-130 angstroms; the thickness range of the fourth work function layer is 0-20 angstroms.

12. The semiconductor structure of claim 8, wherein the third gate structure further comprises a predetermined work function layer, the third work function layer being on the predetermined work function layer; the fourth gate structure further comprises a preset work function layer, and the fourth work function layer is positioned on the preset work function layer;

when the semiconductor structure is N-type, the material of the preset work function layer is an N-type work function material;

when the semiconductor structure is a P type, the material of the preset work function layer is a P type work function material; the P-type work function material comprises tantalum nitride or aluminum nitride; the N-type work function material comprises titanium aluminum.

13. The semiconductor structure of claim 8, wherein the third nanowire has third threshold voltage tuning ions therein and the fourth nanowire has fourth threshold voltage tuning ions therein.

14. The semiconductor structure of claim 13, wherein the third threshold voltage adjusting ions and the fourth threshold voltage adjusting ions are of opposite types; when the semiconductor structure is of an N type, the first threshold voltage regulating ion type is of an N type, and the second threshold voltage regulating ion type is of a P type; when the semiconductor structure is of a P type, the first threshold voltage regulating ion is of a P type, and the second threshold voltage regulating ion is of an N type; the concentration range of the third doping ions is 5.0E17 atoms per cubic centimeter to 7.0E19 atoms per cubic centimeter; the concentration range of the fourth doping ions is 0-4.0E 19 atoms per cubic centimeter.

15. The semiconductor structure of claim 13, wherein the first threshold voltage adjusting ions and the second threshold voltage adjusting ions are of the same type; when the semiconductor structure is of an N type, the first threshold voltage adjusting ion type and the second threshold voltage adjusting ion type are of N types; when the semiconductor structure is of a P type, the first threshold voltage adjusting ion type and the second threshold voltage adjusting ion type are of a P type; the concentration of the first threshold voltage adjusting ions is greater than the concentration of the second threshold voltage adjusting ions; the concentration range of the third doping ions is 1.5E18 atoms per cubic centimeter to 1.0E20 atoms per cubic centimeter; the concentration range of the fourth doping ions is 0-8.0E 19 atoms per cubic centimeter.

16. A method of forming a semiconductor structure as claimed in any one of claims 8 to 15.

Technical Field

The present invention relates to the field of semiconductor manufacturing, and more particularly, to a semiconductor structure and a method for forming the same.

Background

With the development of semiconductor technology, the conventional planar metal-oxide semiconductor field effect transistor has a weak ability to control channel current, resulting in a serious leakage current. A Fin field effect transistor (Fin FET) is an emerging multi-gate device, which generally includes a Fin portion protruding from a surface of a semiconductor substrate, a gate structure covering a portion of a top surface and a sidewall of the Fin portion, and source-drain doped regions in the Fin portion located at both sides of the gate structure. Compared with a planar metal-oxide semiconductor field effect transistor, the fin type field effect transistor has stronger short channel inhibition capability and stronger working current.

With the further development of semiconductor technology, the size of integrated circuit devices is smaller and smaller, and the conventional fin field effect transistor has a limitation in further increasing the operating current. Specifically, only the region near the top surface and the sidewall in the fin is used as a channel region, so that the volume of the fin used as the channel region is small, which limits the increase of the operating current of the finfet. Therefore, a gate-all-around (GAA) structure fin field effect transistor is proposed, so that the volume of the fin field effect transistor used as a channel region is increased, and the working current of the gate-all-around structure fin field effect transistor is further increased.

However, the performance of the prior art finfet with a trench gate wrap-around structure is still to be improved.

Disclosure of Invention

The invention provides a semiconductor structure and a forming method thereof, which can improve the performance of the semiconductor structure.

To solve the above technical problem, an embodiment of the present invention provides a semiconductor structure, including: the semiconductor device comprises a substrate, wherein a fin structure is arranged on the substrate, the fin structure comprises a channel region, a source region and a drain region, the channel region is located between the source region and the drain region, the channel region comprises a first nanowire and a second nanowire located on the first nanowire, a first threshold voltage regulating ion is arranged in the first nanowire, a second threshold voltage regulating ion is arranged in the second nanowire, a first opening is arranged between the first nanowire and the substrate as well as between the source region and the drain region, and a second opening is arranged between the second nanowire and the first nanowire as well as between the source region and the drain region; a first gate structure located within the first opening and spanning the first nanowire, the first gate structure surrounding the first nanowire; a second gate structure located within the second opening and spanning the second nanowire, the second gate structure surrounding the second nanowire.

Optionally, the first threshold voltage adjusting ion and the second threshold voltage adjusting ion are of opposite types; when the semiconductor structure is of an N type, the first threshold voltage regulating ion type is of an N type, and the second threshold voltage regulating ion type is of a P type; when the semiconductor structure is of a P type, the first threshold voltage regulating ion is of a P type, and the second threshold voltage regulating ion is of an N type; the first threshold voltage adjusting ion concentration range is 5.0E17 atoms per cubic centimeter to 7.0E19 atoms per cubic centimeter; the second threshold voltage adjusting ion concentration range is 0-4.0E 19 atoms per cubic centimeter.

Optionally, the first threshold voltage adjusting ion and the second threshold voltage adjusting ion are of the same type; when the semiconductor structure is of an N type, the first threshold voltage adjusting ion type and the second threshold voltage adjusting ion type are of N types; when the semiconductor structure is of a P type, the first threshold voltage adjusting ion type and the second threshold voltage adjusting ion type are of a P type; the concentration of the first threshold voltage adjusting ions is greater than the concentration of the second threshold voltage adjusting ions; the concentration range of the first threshold voltage adjusting ions is 1.5E18 atoms per cubic centimeter to 1.0E20 atoms per cubic centimeter; the concentration range of the second threshold voltage regulating ions is 0-8.0E 19 atoms per cubic centimeter.

Optionally, the first gate structure further includes: a first work function layer; the second gate structure further comprises: a second work function layer, the second work function layer being different from the first work function layer.

Optionally, the first work function layer and the second work function layer are of the same type; when the semiconductor structure is an N-type semiconductor structure, the material of the first work function layer and the material of the second work function layer are N-type work function materials; when the semiconductor structure is a P-type semiconductor structure, the material of the first work function layer and the material of the second work function layer are P-type work function materials; the P-type work function material comprises tantalum nitride or aluminum nitride; the N-type work function material comprises titanium aluminum; the thickness of the first work function layer is larger than that of the second work function layer; the thickness range of the first work function layer is 25-120 angstroms; the thickness range of the second work function layer is 0-100 angstroms.

Optionally, the types of the first work function layer and the second work function layer are opposite; when the semiconductor structure is an N-type semiconductor structure, the first work function layer is made of an N-type work function material, and the second work function layer is made of a P-type work function material; when the semiconductor structure is a P-type semiconductor structure, the second work function layer is made of a P-type work function material, and the second work function layer is made of an N-type work function material; the P-type work function material comprises tantalum nitride or aluminum nitride; the N-type work function material comprises titanium aluminum; the thickness range of the first work function layer is 30-130 angstroms; the thickness range of the second work function layer is 0-20 angstroms.

Correspondingly, the technical scheme of the invention also provides a method for forming any one of the semiconductor structures.

The technical scheme of the invention provides a semiconductor structure, which comprises: the semiconductor device comprises a substrate, wherein a fin structure is arranged on the substrate, the fin structure comprises a channel region, a source region and a drain region, the channel region is positioned between the source region and the drain region, the channel region comprises a third nanowire and a fourth nanowire positioned on the third nanowire, third openings are formed between the third nanowire and the substrate as well as between the source region and the drain region, and fourth openings are formed between the fourth nanowire and the third nanowire as well as between the source region and the drain region; a third gate structure located within the third opening and spanning the third nanowire, the third gate structure surrounding the third nanowire, the third gate structure comprising a third work function layer; a fourth gate structure located within the fourth opening and spanning the fourth nanowire, the fourth gate structure surrounding the fourth nanowire, the fourth gate structure including a fourth work function layer, the fourth work function layer being different from the third work function layer.

Optionally, the third work function layer and the fourth work function layer are of the same type, and the thickness of the third work function layer is greater than that of the fourth work function layer.

Optionally, when the semiconductor structure is an N-type semiconductor structure, the material of the third work function layer and the material of the fourth work function layer are N-type work function materials; when the semiconductor structure is a P-type semiconductor structure, the material of the third work function layer and the material of the fourth work function layer are P-type work function materials; the P-type work function material comprises tantalum nitride or aluminum nitride; the N-type work function material comprises titanium aluminum; the thickness range of the third work function layer is 25-120 angstroms; the thickness range of the fourth work function layer is 0-100 angstroms.

Optionally, the third work function layer and the fourth work function layer are of opposite types; when the semiconductor structure is an N-type semiconductor structure, the third work function layer is made of an N-type work function material, and the fourth work function layer is made of a P-type work function material; when the semiconductor structure is a P-type semiconductor structure, the third work function layer is made of a P-type work function material, and the fourth work function layer is made of an N-type work function material; the P-type work function material comprises tantalum nitride or aluminum nitride; the N-type work function material comprises titanium aluminum; the thickness range of the third work function layer is 30-130 angstroms; the thickness range of the fourth work function layer is 0-20 angstroms.

Optionally, the third gate structure further includes a preset work function layer, and the third work function layer is located on the preset work function layer; the fourth gate structure further comprises a preset work function layer, and the fourth work function layer is positioned on the preset work function layer; when the semiconductor structure is N-type, the material of the preset work function layer is an N-type work function material; when the semiconductor structure is a P type, the material of the preset work function layer is a P type work function material; the P-type work function material comprises tantalum nitride or aluminum nitride; the N-type work function material comprises titanium aluminum.

Optionally, the third nanowire has a third threshold voltage modifier ion therein, and the fourth nanowire has a fourth threshold voltage modifier ion therein.

Optionally, the third threshold voltage adjusting ion and the fourth threshold voltage adjusting ion are of opposite types; when the semiconductor structure is of an N type, the first threshold voltage regulating ion type is of an N type, and the second threshold voltage regulating ion type is of a P type; when the semiconductor structure is of a P type, the first threshold voltage regulating ion is of a P type, and the second threshold voltage regulating ion is of an N type; the concentration range of the third doping ions is 5.0E17 atoms per cubic centimeter to 7.0E19 atoms per cubic centimeter; the concentration range of the fourth doping ions is 0-4.0E 19 atoms per cubic centimeter.

Optionally, the first threshold voltage adjusting ion and the second threshold voltage adjusting ion are of the same type; when the semiconductor structure is of an N type, the first threshold voltage adjusting ion type and the second threshold voltage adjusting ion type are of N types; when the semiconductor structure is of a P type, the first threshold voltage adjusting ion type and the second threshold voltage adjusting ion type are of a P type; the concentration of the first threshold voltage adjusting ions is greater than the concentration of the second threshold voltage adjusting ions; the concentration range of the third doping ions is 1.5E18 atoms per cubic centimeter to 1.0E20 atoms per cubic centimeter; the concentration range of the fourth doping ions is 0-8.0E 19 atoms per cubic centimeter.

Correspondingly, the technical scheme of the invention also provides a method for forming any one of the semiconductor structures.

Compared with the prior art, the technical scheme of the invention has the following beneficial effects:

in the semiconductor structure of the technical scheme of the invention, the first nanowire is internally provided with a first threshold voltage regulating ion, the second nanowire is internally provided with a second threshold voltage regulating ion, and the first threshold voltage regulating ion can increase the circulation quantity of electrons in the first nanowire, so that the circuit current controlled by the first grid structure is increased; the second threshold voltage adjusting ions can reduce the number of electrons flowing in the second nanowire, so that the circuit current controlled by the second gate structure is reduced, the circuit current controlled by the first gate structure and the circuit current controlled by the second gate structure are in a relatively balanced state, the situation that the reliability of the semiconductor structure is reduced due to the fact that the difference between the circuit current controlled by the first gate structure and the circuit current controlled by the second gate structure is large is avoided, and the performance of the semiconductor structure is improved.

Further, the first gate structure includes a first work function layer, the second gate structure includes a second work function layer, and the second work function layer is different from the first work function layer, the first work function layer can greatly reduce the turn-on voltage of the first gate structure, and the effect of the second work function layer in reducing the turn-on voltage of the second gate structure is slight, so that under the same voltage, the circuit current controlled by the first gate structure is larger, and the circuit current controlled by the second gate structure is smaller. Therefore, the current of the circuit controlled by the first gate structure and the current of the circuit controlled by the second gate structure can better reach a relatively balanced state.

In the semiconductor structure of the technical scheme of the present invention, a fourth work function layer of the fourth gate structure is different from a third work function layer of the third gate structure, the third work function layer can greatly reduce a turn-on voltage of the third gate structure, and the effect of the fourth work function layer in reducing the turn-on voltage of the fourth gate structure is slight, so that under the same voltage, a circuit current controlled by the third gate structure is larger, and a circuit current controlled by the fourth gate structure is smaller; therefore, the current of the circuit controlled by the third gate structure and the current of the circuit controlled by the fourth gate structure are relatively balanced, the situation that the reliability of the semiconductor structure is reduced due to the large difference between the current of the circuit controlled by the third gate structure and the current of the circuit controlled by the fourth gate structure is avoided, and the performance of the semiconductor structure is improved.

Further, a third nanowire has a third threshold voltage adjusting ion therein, and a fourth nanowire has a fourth threshold voltage adjusting ion therein, and the doping types of the third and fourth threshold voltage adjusting ions are opposite, and the third threshold voltage adjusting ion can increase the circulation number of electrons in the third nanowire, so that the circuit current controlled by the third gate structure is increased; the fourth threshold voltage adjusting ions can reduce the number of electrons flowing in the fourth nanowire, so that the circuit current controlled by the fourth gate structure is reduced, and the circuit current controlled by the third gate structure and the circuit current controlled by the fourth gate structure can better reach a relatively balanced state.

Drawings

FIG. 1 is a schematic cross-sectional view of a semiconductor structure in one embodiment;

FIGS. 2-10 are cross-sectional structural diagrams illustrating a semiconductor structure formation process according to an embodiment of the present invention;

FIG. 11 is a cross-sectional view of a semiconductor structure during a process of forming a semiconductor structure in accordance with another embodiment of the present invention;

FIG. 12 is a cross-sectional view of a semiconductor structure during a process of forming the semiconductor structure in accordance with another embodiment of the present invention;

FIG. 13 is a cross-sectional view of a semiconductor structure during a process of forming a semiconductor structure in accordance with another embodiment of the present invention;

FIG. 14 is a cross-sectional view of a semiconductor structure during a process of forming the semiconductor structure in accordance with another embodiment of the present invention;

FIG. 15 is a cross-sectional view of a semiconductor structure during a process of forming a semiconductor structure in accordance with another embodiment of the present invention;

FIGS. 16-20 are cross-sectional views illustrating a semiconductor structure formation process according to another embodiment of the present invention;

FIG. 21 is a cross-sectional view of a semiconductor structure during a process of forming a semiconductor structure in accordance with another embodiment of the present invention.

Detailed Description

As described in the background, the performance of the prior art finfet with channel gate wrap-around structure is desired. The analysis will now be described with reference to specific examples.

FIG. 1 is a cross-sectional view of a semiconductor structure according to an embodiment.

Referring to fig. 1, the semiconductor structure includes: a substrate 100, wherein a fin structure is arranged on the substrate, the fin structure includes a source drain region 101 and a channel region, the channel region is located between the source drain regions 101, the channel region includes a first nanowire 102 and a second nanowire 103 located on the first nanowire 102, a first opening (not shown) is arranged between the first nanowire 102 and the substrate 100, and a second opening (not shown) is arranged between the second nanowire 103 and the first nanowire 102; a first gate structure located in the first opening, the first gate structure surrounding the first nanowire 102, the first gate structure including a first gate dielectric layer (not labeled) located on a surface of the first nanowire 102, a first work function layer (not labeled) located on a surface of the first gate dielectric layer, and a first gate layer 104 located on a surface of the first work function layer; a second gate structure located in the second opening and on the second nanowire 103, the second gate structure surrounding the second nanowire 103, the second gate structure including a second gate dielectric layer (not labeled) located on the surface of the second nanowire 103, a second work function layer (not labeled) located on the surface of the second gate dielectric layer, and a second gate layer 105 located on the surface of the second work function layer; an isolation layer 108 on the substrate 100; plug 107 located in source drain region 101 and in isolation layer 108.

In the semiconductor structure, the first gate structure and the second gate structure respectively control different devices, and have different functions. The plug 107 is used for electrically connecting the source drain region 101 with a circuit of a semiconductor structure, and in a direction perpendicular to the surface of the substrate, the plug 107 is closer to the second gate structure, and the plug 107 is farther from the first gate structure.

When the circuit of the semiconductor structure is turned on, a voltage is loaded from a second gate structure to the first gate structure, and the distance between the second gate structure and the plug 107 is short, so that the current path between the plug 107 and the second gate structure is short, the resistance in the circuit is small, and the current in the circuit is large; the first gate structure is far from the plug 107, so the current path between the plug 107 and the first gate structure is long, and thus the resistance in the circuit is large, and the current in the circuit is small. On one hand, when the semiconductor structure is subjected to static reliability test, the tolerance capability of the device controlled by the second grid structure to static is inferior to that of the device controlled by the first grid structure, so that the performance failure of the semiconductor structure can be caused; on the other hand, the current of the device controlled by the second gate structure is large, so that the service life of the device controlled by the second gate structure is easily exhausted, and the performance of the semiconductor structure is invalid.

In order to solve the above problems, a technical solution of the present invention provides a semiconductor structure and a method for forming the same, in which different ions are doped in nanowires surrounded by a first gate structure and a second gate structure, and different work function layers are formed in the first gate structure and the second gate structure, so that a circuit current controlled by the first gate structure is increased, and a circuit current controlled by the first gate structure is decreased, and thus the circuit current controlled by the first gate structure and the circuit current controlled by the second gate structure are relatively balanced, and thus the performance of the semiconductor structure is improved.

In order to make the aforementioned objects, features and advantages of the present invention comprehensible, embodiments accompanied with figures are described in detail below.

Fig. 2 to 10 are schematic cross-sectional views illustrating a semiconductor structure forming process according to an embodiment of the invention.

Firstly, a substrate is provided, wherein a fin structure is arranged on the substrate, the fin structure comprises a channel region, a source region and a drain region, the channel region is located between the source region and the drain region, the channel region comprises a first nanowire and a second nanowire located on the first nanowire, a first threshold voltage regulating ion is arranged in the first nanowire, a second threshold voltage regulating ion is arranged in the second nanowire, a first opening is arranged between the first nanowire and the substrate and between the source region and the drain region, and a second opening is arranged between the second nanowire and the first nanowire and between the source region and the drain region. Please refer to fig. 2 to fig. 7 for a specific forming process.

Referring to fig. 2 and 3, fig. 3 is a schematic structural view of fig. 2 along a section line AA', a substrate 200 is provided, and a channel region is formed on the substrate 200.

The channel region comprises a plurality of layers of stacked fin units, each fin unit comprises a sacrificial layer and an initial nanowire positioned on the top of the sacrificial layer, and the sacrificial layer and the initial nanowire are made of different materials.

In this embodiment, the channel region includes a first fin unit and a second fin unit on the first fin unit. The first fin unit comprises a first sacrificial layer 201 on a substrate 200 and an initial first nanowire 202 on top of the first sacrificial layer 201; the second fin cell comprises a second sacrificial layer 203 on the initial first nanowire 202 and an initial second nanowire 204 on top of the second sacrificial layer 203.

In this embodiment, the material of the substrate 200 is silicon. In other embodiments, the material of the substrate comprises silicon germanium, silicon-on-insulator, or germanium-on-insulator.

The method of forming the first fin unit and the second fin unit includes: forming a first sacrificial material layer (not shown) on the substrate 200; forming a first nanowire material layer (not shown) on the first sacrificial material layer; forming a second sacrificial material layer (not shown) on the first nanowire material layer; forming a second nanowire material layer (not shown) on the second sacrificial material layer; forming a first mask layer (not shown) on the second nanowire material layer; and etching the nanowire material layer and the sacrificial material layer by taking the first mask layer as a mask until the surface of the substrate 200 is exposed, so that the first sacrificial material layer forms a first sacrificial layer 201, the first nanowire material layer forms an initial first nanowire 202, the second sacrificial material layer forms a second sacrificial layer 203, the second nanowire material layer forms an initial second nanowire 204, and the channel region is formed.

The sacrificial layer and the nanowire are of different materials. Specifically, the material of the first sacrificial layer 201 and the second sacrificial layer 203 is monocrystalline silicon or monocrystalline silicon germanium; the material of the initial first nanowire 202 and the initial second nanowire 204 is single crystal silicon or single crystal silicon germanium.

In this embodiment, the material of the first sacrificial layer 201 and the second sacrificial layer 203 is silicon germanium; the material of the initial first nanowire 202 and the initial second nanowire 204 is monocrystalline silicon.

The sacrificial layer and the nanowire are made of different materials, so that the sacrificial layer and the nanowire have different etching selection ratios, and the nanowire is less damaged when the sacrificial layer is removed subsequently.

With reference to fig. 3, after the channel region is formed, a first isolation layer 205 is formed on the substrate 200, and the first isolation layer 205 covers a portion of the sidewall surface of the first sacrificial layer 201.

The first isolation layer 205 is used to electrically isolate a subsequently formed gate structure from the substrate 200, thereby preventing the performance of the semiconductor structure from being damaged.

Referring to fig. 4 and 5, fig. 5 is a schematic structural view along a section line BB' of fig. 4, a dummy gate structure is formed on the substrate 200, and the dummy gate structure crosses the channel region; and forming side walls 208 on the side walls of the dummy gate structure, two sides of the first sacrificial layer 201 and two sides of the second sacrificial layer 203.

The dummy gate structure includes a dummy gate dielectric layer 206 and a dummy gate layer 207 on the dummy gate dielectric layer 206.

The forming method of the dummy gate structure comprises the following steps: forming a dummy gate dielectric film (not shown) on the substrate 200 to cover the channel region, and forming a dummy gate film (not shown) on the surface of the dummy gate dielectric film; forming a second mask layer (not shown) on the dummy gate film, wherein the second mask layer defines the position and the size of a dummy gate structure; and etching the pseudo gate dielectric film and the pseudo gate electrode film by taking the second mask layer as a mask until the top surface of the channel region is exposed, and forming a pseudo gate structure on the channel region.

In this embodiment, the process of etching the dummy gate dielectric film and the dummy gate film includes a dry etching process.

In the present embodiment, the material of the dummy gate dielectric layer 206 includes silicon oxide.

The process for forming the pseudo gate dielectric film comprises a chemical vapor deposition process, an atomic layer deposition process or a thermal oxidation process.

In this embodiment, the material of the dummy gate layer 207 includes polysilicon.

The process of forming the dummy gate film includes a physical vapor deposition process.

In this embodiment, the dummy gate structure further includes a protection layer (not shown) on the top surface of the dummy gate layer 207, and the protection layer is used to protect the dummy gate layer 207 when forming the source region and the drain region, and also serves as a stop layer for the subsequent planarization of the initial second isolation layer.

The material of the protective layer comprises silicon oxide or silicon nitride. In this embodiment, the material of the protection layer includes silicon nitride.

The forming method of the side wall 208 includes: after the dummy gate structure is formed, removing a part of the first sacrificial layer 201 and the second sacrificial layer 203, so that the first sacrificial layer 201 is recessed inwards relative to the side wall of the initial first nanowire 202, and the second sacrificial layer 203 is recessed inwards relative to the side wall of the initial second nanowire 204; after removing part of the first sacrificial layer 201 and the second sacrificial layer 203, forming a side wall material film (not shown) on the side wall of the dummy gate structure and the side wall of the channel region; and etching the side wall material film back until the side wall surface of the initial first nanowire 202 and the side wall surface of the initial second nanowire 204 are exposed, and forming side walls 208 on the side wall of the pseudo gate structure, two sides of the first sacrificial layer 201 and two sides of the second sacrificial layer 203.

Removing part of the first sacrificial layer 201 and the second sacrificial layer 203, so that the first sacrificial layer 201 is recessed inward relative to the sidewall of the initial first nanowire 202, and the second sacrificial layer 203 is recessed inward relative to the sidewall of the initial second nanowire 204, thereby providing a space for forming sidewalls 208 on two sides of the first sacrificial layer 201 and two sides of the second sacrificial layer 203.

In this embodiment, the process of removing a portion of the first sacrificial layer 201 and the second sacrificial layer 203 includes a wet etching process.

The material of the sidewall spacers 208 includes silicon oxide, silicon nitride, or silicon oxynitride. In this embodiment, the material of the sidewall spacers 208 includes silicon nitride.

In this embodiment, the process of forming the sidewall material film includes a chemical vapor deposition process or an atomic layer deposition process.

Referring to fig. 6 and 7, fig. 7 is a schematic structural diagram of fig. 6 along a section line CC', a source region 209 and a drain region 210 are formed on the substrate 200, and the source region 209 and the drain region 210 are located at two sides of the channel region; after forming the source region 209 and the drain region 210, removing the dummy gate structure; after removing the dummy gate structure, the first sacrificial layer 201 and the second sacrificial layer 203 are removed.

The method for forming the source region 209 and the drain region 210 comprises the following steps: forming grooves (not shown) in the dummy gate structure and the fin structures on the two sides of the sidewall 208; after the grooves are formed, source regions 209 and drain regions 210 are formed in the grooves.

The source region 209 and the drain region 210 are formed by an epitaxial growth process.

The source region 209 and the drain region 210 have source and drain ions therein. The process of doping source and drain ions in the source region 209 and the drain region 210 is an in-situ doping process.

When the semiconductor device is a P-type device, the materials of the source region 209 and the drain region 210 include: silicon, germanium or silicon germanium, the source and drain ions are P-type ions, and the P-type ions comprise boron ions and BF2-Ions or indium ions.

When the semiconductor device is an N-type device, the materials of the source region 209 and the drain region 210 include: the source and drain ions are N-type ions, and the N-type ions comprise phosphorus ions or arsenic ions.

In other embodiments, the source region 209 and the drain region 210 are formed by an ion implantation process.

With continued reference to fig. 6 and 7, after the source region 209 and the drain region 210 are formed, a second isolation layer 214 is formed on the substrate 200 and on the fin structure, and the second isolation layer 214 covers sidewalls of the dummy gate structure and exposes a top surface of the dummy gate structure.

The second isolation layer 214 is used to achieve electrical isolation between semiconductor devices.

The method for forming the second isolation layer 214 includes: forming initial second isolation layers (not shown) on the source region 209, the drain region 210, the dummy gate structure and the sidewall spacers 208, wherein the initial second isolation layers cover the top surface and the sidewall surface of the dummy gate structure; the initial second isolation layer is planarized until the top surface of the dummy gate protection layer on top of the dummy gate structure is exposed, forming a second isolation layer 214.

The second isolation layer 214 top surface is flush with the dummy gate structure top surface.

In the present embodiment, the material of the second isolation layer 214 includes silicon oxide.

In this embodiment, the process of planarizing the initial second isolation layer includes a chemical mechanical polishing process.

With continued reference to fig. 6 and 7, after forming the second isolation layer 214, the dummy gate structure is removed, and a top opening 213 is formed on the initial second nanowire 204; after removing the dummy gate structure, the first sacrificial layer 201 and the second sacrificial layer 203 are removed, a first opening 211 is formed between the initial first nanowire 202 and the substrate 200 and the source and drain regions 209 and 210, and a second opening 212 is formed between the initial second nanowire 204 and the initial first nanowire 202 and the source and drain regions 209 and 210.

The initial first nanowire 202 serves as a channel for a first gate structure subsequently formed within the first opening.

The initial second nanowire 202 serves as a channel for a second gate structure that is subsequently formed within the second opening.

The step of removing the dummy gate structure comprises: removing the dummy gate layer 207; after removing the dummy gate layer 207, the dummy gate dielectric layer 206 is removed.

The process for removing the dummy gate layer 207 includes one or a combination of a dry etching process and a wet etching process.

The process for removing the dummy gate dielectric layer 206 includes one or two of a dry etching process and a wet etching process.

After removing the dummy gate structure, the first sacrificial layer 201 and the second sacrificial layer 203 are exposed.

The process of removing the first sacrificial layer 201 and the second sacrificial layer 203 includes a wet etching process.

Due to the fact that the nanowire is made of different materials from the sacrificial layer, the etching liquid of the wet etching process has different etching selection ratios to the nanowire and the sacrificial layer. The etching solution is used to remove the first sacrificial layer 201 and the second sacrificial layer 203, so that the etching rate of the etchant to the initial first nanowire 202 and the initial second nanowire 204 is slow, and the damage to the initial first nanowire 202 and the initial second nanowire 204 is small.

Referring to fig. 8, first nanowires 230 are formed by doping first threshold voltage modifier ions into the initial first nanowires 202; second threshold voltage tuning ions are doped within the initial second nanowire 204 to form a second nanowire 240.

In this embodiment, the first threshold voltage adjusting ion and the second threshold voltage adjusting ion are opposite in type.

When the semiconductor structure is an N-type device, the first threshold voltage adjusting ion type is an N-type, and the second threshold voltage adjusting ion type is a P-type.

When the semiconductor structure is a P-type device, the first threshold voltage adjusting ion is of a P-type, and the second threshold voltage adjusting ion is of an N-type.

The P-type ions include boron ions and BF2-Ions or indium ions.

The N-type ions include phosphorous ions or arsenic ions.

The type of the first threshold voltage adjusting ions is the same as that of the semiconductor structure, and the first threshold voltage adjusting ions can increase the circulation number of electrons in the first nanowire, so that the circuit current controlled by the first gate structure is increased; the type of the second threshold voltage adjusting ions is opposite to that of the first threshold voltage adjusting ions, and the second threshold voltage adjusting ions can reduce the number of electrons flowing in the second nanowire, so that the circuit current controlled by the second gate structure is reduced, the circuit current controlled by the first gate structure and the circuit current controlled by the second gate structure reach a relatively balanced state, the situation that the reliability of the semiconductor structure is reduced due to the fact that the difference between the circuit current controlled by the first gate structure and the circuit current controlled by the second gate structure is large is avoided, and the performance of the semiconductor structure is improved.

In this embodiment, the process of doping the first threshold voltage adjusting ions in the initial first nanowire 202 comprises an ion implantation process; the process of doping the second threshold voltage adjusting ions within the initial second nanowire 204 comprises an ion implantation process.

The injection sequence of the doped first threshold voltage regulating ions and the doped second threshold voltage regulating ions is not in sequence.

The method for implanting the first threshold voltage adjusting ions comprises the following steps: forming a third mask layer on the surface of the initial second nanowire 204; and performing ion implantation on the initial first nanowire 202 by using the third mask layer as a mask to form a first nanowire 230.

In this embodiment, the first threshold voltage adjusting ion concentration ranges from 5.0E17 atoms per cubic centimeter to 7.0E19 atoms per cubic centimeter.

The method for implanting the second threshold voltage adjusting ions comprises the following steps: forming a fourth mask layer on the surface of the first nanowire 230; and performing ion implantation on the initial second nanowire 204 by taking the fourth mask layer as a mask to form a second nanowire 240.

In this embodiment, the second threshold voltage adjusting ion concentration ranges from 0 to 4.0E19 atoms per cubic centimeter.

In other embodiments, the first and second threshold voltage adjusting ions are of the same type. The first threshold voltage adjusting ion and the second threshold voltage adjusting ion have different concentrations.

Referring to fig. 9, after the first and second nanowires 230 and 240 are formed, a first gate structure is formed in the first opening 211, and a second gate structure is formed in the second opening 212 and the top opening 213.

The first gate structure spans the first nanowire 230 and surrounds the first nanowire 230.

The second gate structure spans the second nanowire 240 and surrounds the second nanowire 240.

The first gate structure includes a first gate dielectric layer (not labeled), a first work function layer 215 on the first gate dielectric layer, and a first gate layer 216 on the first work function layer 215.

The second gate structure includes a second gate dielectric layer (not labeled), a second work function layer 217 on the second gate dielectric layer, and a second gate layer 218 on the second work function layer 217.

In this embodiment, the first gate structure and the second gate structure are formed simultaneously.

In other embodiments, the first work function layer and the second work function layer are not formed at the same time.

The forming method of the first gate structure and the second gate structure comprises the following steps: forming a gate dielectric material layer (not shown) on the surface of the substrate 200, the inner wall of the first opening 211, the inner wall of the second opening 212 and the inner wall of the top opening 213; forming a work function material layer (not shown) on the surface of the gate dielectric material layer; forming a gate material layer (not shown) on the surface of the work function material layer, wherein the gate material layer fills the first opening 211, the second opening 212 and the top opening 213; and flattening the gate material layer, the work function material layer and the gate dielectric material layer until the surface of the second isolation layer 214 is exposed, so as to form the first gate structure and the second gate structure.

The first gate dielectric layer and the second gate dielectric layer are made of the same material. The first gate dielectric layer and the second gate dielectric layer are made of high-K (more than 3.9) materials, and the high-K materials comprise hafnium oxide or aluminum oxide.

The forming process of the first gate dielectric layer and the second gate dielectric layer comprises a chemical vapor deposition process or an atomic layer deposition process.

In this embodiment, the forming process of the first gate dielectric layer and the second gate dielectric layer includes an atomic layer deposition process. The atomic layer deposition process can form the gate dielectric layer which is thin in thickness, good in uniformity and compact in structure.

The first work function layer 215 and the second work function layer 217 are made of the same material. When the semiconductor structure is a P-type device, the material of the first work function layer 215 and the second work function layer 217 comprises tantalum nitride or aluminum nitride; when the semiconductor structure is an N-type device, the material of the first work function layer 215 and the second work function layer 217 comprises titanium aluminum.

The forming process of the first work function layer 215 and the second work function layer 217 includes a chemical vapor deposition process or an atomic layer deposition process.

In this embodiment, the forming process of the first work function layer 215 and the second work function layer 217 includes an atomic layer deposition process. The atomic layer deposition process can form the work function layer which is thin, good in uniformity and compact in structure.

The materials of the first gate layer 216 and the second gate layer 218 are the same. The material of the first gate layer 216 and the second gate layer 218 includes a metal, and the metal includes tungsten.

The formation process of the first gate layer 216 and the second gate layer 218 includes a physical vapor deposition process.

Referring to fig. 10, after forming the first gate structure and the second gate structure, a third isolation layer 219 is formed on the surfaces of the second isolation layer 214 and the second gate structure; source plugs 220 are formed in the third isolation layer 219, the second isolation layer 214, and the source region 209, and drain plugs 221 are formed in the third isolation layer 219, the second isolation layer 214, and the drain region 210.

The third isolation layer 219 is used to protect the gate structure from being damaged in the process of forming the source plug and the drain plug, and the third isolation layer 219 and the second isolation layer 214 together provide structural support for the source plug and the drain plug.

In this embodiment, the material of the third isolation layer 219 includes silicon oxide.

The source plug 220 and the drain plug 221 are simultaneously formed.

The method for forming the source plug 220 and the drain plug 221 includes: forming a fifth mask layer (not shown) on the surface of the third isolation layer 219, where the fifth mask layer exposes a portion of the surface of the third isolation layer 219; etching the third isolation layer 219, the second isolation layer 214, and the source region 209 and the drain region 210 by using the fifth mask layer as a mask, and forming grooves (not shown) in the third isolation layer 219, the second isolation layer 214, and the source region 209 and the drain region 210; forming a plug material layer (not shown) within the recess; the plug material layer is planarized until the surface of the third isolation layer 219 is exposed, forming the source plug 220 and the drain plug 221.

In this embodiment, the process of etching the third isolation layer 219, the second isolation layer 214, and the source region 209 and the drain region 210 includes a dry etching process.

In this embodiment, the process of planarizing the plug material layer includes a chemical mechanical polishing process.

In the formed semiconductor structure, the circuit current controlled by the first gate structure and the circuit current controlled by the second gate structure are relatively balanced, so that the performance of the semiconductor structure is improved.

Accordingly, an embodiment of the present invention further provides a semiconductor structure formed by the above method, with reference to fig. 10, including:

a substrate 200, a fin structure located on the substrate 200, the fin structure including a channel region, a source region 209 and a drain region 210, the channel region located between the source region 209 and the drain region 210, the channel region including a first nanowire 230 and a second nanowire 240 located on the first nanowire 230, the first nanowire 230 having a first threshold voltage adjusting ion therein, the second nanowire 240 having a second threshold voltage adjusting ion therein, the first nanowire 230 and the substrate 200 and the source region 209 and the drain region 210 having a first opening therebetween, the second nanowire 240 and the first nanowire 230 and the source region 209 and the drain region 210 having a second opening therebetween;

a first gate structure located within the first opening and crossing the first nanowire 230, the first gate structure surrounding the first nanowire 230;

a second gate structure located within the second opening and crossing the second nanowire 240, the second gate structure surrounding the second nanowire 240.

The first and second threshold voltage ions are of opposite type; or the first threshold voltage adjusting ion and the second threshold voltage adjusting ion are of the same type, and the concentration of the first threshold voltage adjusting ion is greater than that of the second threshold voltage adjusting ion.

FIG. 11 is a cross-sectional view of a semiconductor structure during a process of forming a semiconductor structure according to another embodiment of the present invention.

Referring to fig. 11, fig. 11 is a schematic structural diagram based on fig. 6, in which first nanowires 202 are doped with first threshold voltage adjusting ions to form first nanowires 330; second threshold voltage tuning ions are doped within the initial second nanowire 204 to form a second nanowire 340.

In this embodiment, the first threshold voltage adjusting ion and the second threshold voltage adjusting ion are the same type.

When the semiconductor structure is an N-type device, the first threshold voltage adjusting ion and the second threshold voltage adjusting ion are of N-type. The N-type ions include phosphorous ions or arsenic ions.

When the semiconductor structure is a P-type device, the first threshold voltage adjusting ion and the second threshold voltage adjusting ion are of P-type. The P-type ions include boron ions and BF2-Ions or indium ions.

In this embodiment, the concentration of the first threshold voltage adjusting ions is greater than the concentration of the second threshold voltage adjusting ions.

The concentration of the first threshold voltage adjusting ions is greater than that of the second threshold voltage adjusting ions, and the degree of increasing the number of electrons flowing in the first nanowire by the first threshold voltage adjusting ions is large, so that the increase amplitude of the circuit current controlled by the first gate structure is large; the degree of increasing the electron circulation quantity in the second nanowire by the second threshold voltage adjusting ions is small, so that the increase amplitude of the circuit current controlled by the second grid structure is small, the circuit current controlled by the first grid structure and the circuit current controlled by the second grid structure reach a relatively balanced state, the situation that the difference between the circuit current controlled by the first grid structure and the circuit current controlled by the second grid structure is large, the reliability of the semiconductor structure is reduced is avoided, and the performance of the semiconductor structure is improved.

In this embodiment, the concentration of the first threshold voltage adjusting ion ranges from 1.5E18 atoms per cubic centimeter to 1.0E20 atoms per cubic centimeter; the concentration range of the second threshold voltage regulating ions is 0-8.0E 19 atoms per cubic centimeter.

In this embodiment, the process of doping the first threshold voltage adjusting ions in the initial first nanowire 202 comprises an ion implantation process; the process of doping the second threshold voltage adjusting ions within the initial second nanowire 204 comprises an ion implantation process.

The injection sequence of the doped first threshold voltage regulating ions and the doped second threshold voltage regulating ions is not in sequence.

The method for implanting the first threshold voltage adjusting ions comprises the following steps: forming a sixth mask layer on the surface of the initial second nanowire 204; and performing ion implantation on the initial first nanowire 202 by using the sixth mask layer as a mask to form a first nanowire 330.

The method for implanting the second threshold voltage adjusting ions comprises the following steps: forming a seventh mask layer on the surface of the first nanowire 330; and performing ion implantation on the initial second nanowire 204 by taking the seventh mask layer as a mask to form a second nanowire 340.

Next, a first gate structure is formed in the first opening 211, and a second gate structure is formed in the second opening 212 and the top opening 213; after the first gate structure and the second gate structure are formed, a third isolation layer is formed on the surfaces of the second isolation layer 214 and the second gate structure; source plugs are formed in the third isolation layer, the second isolation layer 214 and the source region 209, and drain plugs are formed in the third isolation layer, the second isolation layer 214 and the drain region 210. For the steps, processes and materials of the specific forming process, please refer to fig. 9 and fig. 10, which are not repeated herein.

FIG. 12 is a cross-sectional view of a semiconductor structure during a process of forming a semiconductor structure according to another embodiment of the present invention.

Referring to fig. 12, fig. 12 is a schematic diagram based on fig. 8, after the first nanowire 230 and the second nanowire 240 are formed, a first gate structure is formed in the first opening 211, and a second gate structure is formed in the second opening 212 and the top opening 213.

The first gate structure spans the first nanowire 230 and surrounds the first nanowire 230.

The second gate structure spans the second nanowire 240 and surrounds the second nanowire 240.

The first gate structure includes a first gate dielectric layer (not labeled), a first work function layer 315 on the first gate dielectric layer, and a first gate layer 316 on the first work function layer 315.

The second gate structure includes a second gate dielectric layer (not labeled), a second work function layer 317 on the second gate dielectric layer, and a second gate layer 318 on the second work function layer 317.

In this embodiment, the second work function layer 317 is different from the first work function layer 315.

In this embodiment, the second work function layer 317 and the first work function layer 315 are of the same type, and the thickness of the first work function layer 315 is greater than that of the second work function layer 317.

The thickness of the first work function layer 315 is greater than the thickness of the second work function layer 317, so that the first work function layer 315 can greatly reduce the turn-on voltage of the first gate structure, and the effect of the second work function layer 317 in reducing the turn-on voltage of the second gate structure is slight, so that under the same voltage, the circuit current controlled by the first gate structure is larger, and the circuit current controlled by the second gate structure is smaller. Under the combined action of the first threshold adjusting ions and the second threshold adjusting ions, the circuit current controlled by the first grid structure and the circuit current controlled by the second grid structure can better reach a relatively balanced state, and therefore the performance of the semiconductor structure is improved.

When the semiconductor structure is an N-type device, the material of the first work function layer and the material of the second work function layer are N-type work function materials; the N-type work function material comprises titanium aluminum.

When the semiconductor structure is a P-type device, the material of the first work function layer and the material of the second work function layer are P-type work function materials; the P-type work function material comprises tantalum nitride or aluminum nitride.

In this embodiment, the first gate dielectric layer and the second gate dielectric layer are formed simultaneously; the first gate layer 316 and the second gate layer 318 are formed simultaneously.

The forming method of the first gate structure and the second gate structure comprises the following steps: forming a gate dielectric material layer (not shown) on the surface of the substrate 200, the inner wall of the first opening 211, the inner wall of the second opening 212 and the inner wall of the top opening 213; forming an initial work function material layer (not shown) on the surface of the gate dielectric material layer; forming an eighth mask layer (not shown) in the first opening 211 and on the first nanowire 230; removing part of the initial work function material layer on the surface of the second nanowire 240 by using the eighth mask layer as a mask to form the second work function layer 317 and the first work function layer 315; forming a gate material layer (not shown) on the surfaces of the second work function layer 317 and the first work function layer 315, wherein the gate material layer fills the first opening 211, the second opening 212, and the top opening 213; and flattening the gate material layer, the work function material layer and the gate dielectric material layer until the surface of the second isolation layer 214 is exposed, so as to form the first gate structure and the second gate structure.

In this embodiment, the thickness of the first work function layer 315 is in a range from 25 angstroms to 120 angstroms: the thickness of the second work function layer 317 is 0 to 100 angstroms.

In this embodiment, the process of removing a portion of the initial work function material layer includes a wet etching process. The wet etching process is isotropic, so that the thickness of the formed second work function layer 317 is uniform.

The forming process of the first work function layer 315 and the second work function layer 317 includes a chemical vapor deposition process or an atomic layer deposition process.

In this embodiment, the forming process of the first work function layer 315 and the second work function layer 317 includes an atomic layer deposition process. The atomic layer deposition process can form the work function layer which is thin, good in uniformity and compact in structure.

The first gate dielectric layer and the second gate dielectric layer are made of the same material. The first gate dielectric layer and the second gate dielectric layer are made of high-K (more than 3.9) materials, and the high-K materials comprise hafnium oxide or aluminum oxide.

The forming process of the first gate dielectric layer and the second gate dielectric layer comprises a chemical vapor deposition process or an atomic layer deposition process.

In this embodiment, the forming process of the first gate dielectric layer and the second gate dielectric layer includes an atomic layer deposition process. The atomic layer deposition process can form the gate dielectric layer which is thin in thickness, good in uniformity and compact in structure.

The materials of the first gate layer 316 and the second gate layer 318 are the same. The material of the first gate layer 316 and the second gate layer 318 includes a metal, and the metal includes tungsten.

The formation process of the first gate layer 316 and the second gate layer 318 includes a physical vapor deposition process.

Next, forming a third isolation layer on the second isolation layer 214 and the surface of the second gate structure; source plugs are formed in the third isolation layer, the second isolation layer 214 and the source region 209, and drain plugs are formed in the third isolation layer, the second isolation layer 214 and the drain region 210. For the steps, processes and materials of the specific forming process, please refer to fig. 10, which is not described herein again.

FIG. 13 is a cross-sectional view of a semiconductor structure during a process of forming a semiconductor structure in accordance with another embodiment of the present invention.

Referring to fig. 13, fig. 13 is a schematic diagram based on fig. 8, after the first nanowire 230 and the second nanowire 240 are formed, a first gate structure is formed in the first opening 211, and a second gate structure is formed in the second opening 212 and the top opening 213.

The first gate structure spans the first nanowire 230 and surrounds the first nanowire 230.

The second gate structure spans the second nanowire 240 and surrounds the second nanowire 240.

The first gate structure includes a first gate dielectric layer (not labeled), a first work function layer 415 on the first gate dielectric layer, and a first gate layer 416 on the first work function layer 415.

The second gate structure includes a second gate dielectric layer (not labeled), a second work function layer 417 over the second gate dielectric layer, and a second gate layer 418 over the second work function layer 417.

In this embodiment, the second work function layer 417 is different from the first work function layer 415.

In this embodiment, the second work function layer 417 is of the opposite type to the first work function layer 415.

When the semiconductor structure is an N-type device, the first work function layer 415 is made of an N-type work function material, and the second work function layer 417 is made of a P-type work function material.

When the semiconductor structure is a P-type device, the first work function layer 415 is made of a P-type work function material, and the second work function layer 417 is made of an N-type work function material.

The P-type work function material comprises tantalum nitride or aluminum nitride; the N-type work function material comprises titanium aluminum.

The second work function layer 417 is of the opposite type to the first work function layer 415, and thus the first work function layer 415 can reduce the turn-on voltage of the first gate structure, and the second work function layer 417 can increase the turn-on voltage of the second gate structure, so that the circuit current controlled by the first gate structure is larger and the circuit current controlled by the second gate structure is smaller under the same voltage. Under the combined action of the first threshold adjusting ions and the second threshold adjusting ions, the circuit current controlled by the first grid structure and the circuit current controlled by the second grid structure can better reach a relatively balanced state, and therefore the performance of the semiconductor structure is improved.

In this embodiment, the thickness of the first work function layer 415 ranges from 30 a to 130 a; the thickness of the second work function layer 417 is in the range of 0 to 20 angstroms.

In this embodiment, the first gate structure further includes a preset work function layer (not shown), and the first work function layer 415 is located on the preset work function layer; the second gate structure further comprises a preset work function layer, and the second work function layer 417 is located on the preset work function layer.

The material type of the preset work function layer is the same as the device type of the semiconductor structure. When the semiconductor structure is a P-type device, the preset work function layer is made of a P-type work function material; and when the semiconductor structure is an N-type device, the preset work function layer is made of an N-type work function material.

The preset work function layer reduces the starting voltage of the first grid structure and the second grid structure, so that the overall performance of the semiconductor structure is improved.

In other embodiments, the preset work function layer may not be formed.

In this embodiment, the first gate dielectric layer and the second gate dielectric layer are formed simultaneously; the first gate layer 416 and the second gate layer 418 are formed simultaneously.

The forming method of the first gate structure and the second gate structure comprises the following steps: forming a gate dielectric material layer (not shown) on the surface of the substrate 200, the inner wall of the first opening 211, the inner wall of the second opening 212 and the inner wall of the top opening 213; forming a preset work function layer (not shown) on the surface of the gate dielectric material layer; forming a first work function material layer (not shown) on the preset work function layer; removing the first work function material layer on the surface of the second nanowire 240, and forming a first work function layer 415 on the surface of the first nanowire 230; forming a second work function material layer (not shown) on the surface of the first work function layer 415 and the surface of the second nanowire 240; removing the second work function material layer on the surface of the first work function layer 415, and forming a second work function layer 417 on the surface of the second nanowire 240; forming a gate material layer (not shown) on the surfaces of the second work function layer 417 and the first work function layer 415, wherein the gate material layer fills the first opening 211, the second opening 212, and the top opening 213; and flattening the gate material layer, the work function material layer and the gate dielectric material layer until the surface of the second isolation layer 214 is exposed, so as to form the first gate structure and the second gate structure.

In this embodiment, the first work function material layer and the second work function material layer have a larger etching selection ratio, so that the second work function material layer is less damaged when the first work function material layer is removed, and the first work function material layer is less damaged when the second work function material layer is removed.

In this embodiment, the material of the predetermined work function layer is the same as the material of the first work function material layer.

In this embodiment, the process of removing the first work function material layer includes a wet etching process; the process for removing the second work function material layer comprises a wet etching process.

The wet etching process has isotropy, so that the work function material layer can be removed cleanly.

The forming process of the first work function material layer comprises a chemical vapor deposition process or an atomic layer deposition process. In this embodiment, the forming process of the first work function material layer includes an atomic layer deposition process. The atomic layer deposition process can form the work function layer which is thin, good in uniformity and compact in structure.

The forming process of the second work function material layer comprises a chemical vapor deposition process or an atomic layer deposition process. In this embodiment, the forming process of the second work function material layer includes an atomic layer deposition process. The atomic layer deposition process can form the work function layer which is thin, good in uniformity and compact in structure.

The first gate dielectric layer and the second gate dielectric layer are made of the same material. The first gate dielectric layer and the second gate dielectric layer are made of high-K (more than 3.9) materials, and the high-K materials comprise hafnium oxide or aluminum oxide.

The forming process of the first gate dielectric layer and the second gate dielectric layer comprises a chemical vapor deposition process or an atomic layer deposition process.

In this embodiment, the forming process of the first gate dielectric layer and the second gate dielectric layer includes an atomic layer deposition process. The atomic layer deposition process can form the gate dielectric layer which is thin in thickness, good in uniformity and compact in structure.

The materials of the first gate layer 416 and the second gate layer 418 are the same. The material of the first gate layer 416 and the second gate layer 418 includes a metal, and the metal includes tungsten.

The formation process of the first gate layer 416 and the second gate layer 418 includes a physical vapor deposition process.

Next, forming a third isolation layer on the second isolation layer 214 and the surface of the second gate structure; source plugs are formed in the third isolation layer, the second isolation layer 214 and the source region 209, and drain plugs are formed in the third isolation layer, the second isolation layer 214 and the drain region 210. For the steps, processes and materials of the specific forming process, please refer to fig. 10, which is not described herein again.

FIG. 14 is a cross-sectional view of a semiconductor structure during a process of forming a semiconductor structure according to another embodiment of the present invention.

Referring to fig. 14, fig. 14 is a schematic structural diagram based on fig. 11, after the first nanowire 330 and the second nanowire 340 are formed, a first gate structure is formed in the first opening 211, and a second gate structure is formed in the second opening 212 and the top opening 213.

The first gate structure spans the first nanowire 330 and surrounds the first nanowire 330.

The second gate structure crosses over the second nanowire 340 and surrounds the second nanowire 340.

The first gate structure includes a first gate dielectric layer (not labeled), a first work function layer 515 on the first gate dielectric layer, and a first gate layer 516 on the first work function layer 515.

The second gate structure includes a second gate dielectric layer (not labeled), a second work function layer 517 on the second gate dielectric layer, and a second gate layer 518 on the second work function layer 517.

In this embodiment, the second work function layer 517 is different from the first work function layer 515.

In this embodiment, the second work function layer 517 and the first work function layer 515 are of the same type, and the thickness of the first work function layer 515 is greater than that of the second work function layer 517.

The thickness of the first work function layer 515 is greater than that of the second work function layer 517, so that the first work function layer 515 can greatly reduce the turn-on voltage of the first gate structure, and the effect of the second work function layer 517 in reducing the turn-on voltage of the second gate structure is slight, so that under the same voltage, the circuit current controlled by the first gate structure is larger, and the circuit current controlled by the second gate structure is smaller. Under the combined action of the first threshold adjusting ions and the second threshold adjusting ions, the circuit current controlled by the first grid structure and the circuit current controlled by the second grid structure can better reach a relatively balanced state, and therefore the performance of the semiconductor structure is improved.

When the semiconductor structure is an N-type device, the material of the first work function layer and the material of the second work function layer are N-type work function materials; the N-type work function material comprises titanium aluminum.

When the semiconductor structure is a P-type device, the material of the first work function layer and the material of the second work function layer are P-type work function materials; the P-type work function material comprises tantalum nitride or tantalum nitride.

In this embodiment, the thickness of the first work function layer 515 ranges from 25 angstroms to 120 angstroms; the thickness of the second work function layer 517 is 0 to 100 angstroms.

In this embodiment, the first gate dielectric layer and the second gate dielectric layer are formed simultaneously; the first gate layer 516 and the second gate layer 518 are formed simultaneously.

The forming method of the first gate structure and the second gate structure comprises the following steps: forming a gate dielectric material layer (not shown) on the surface of the substrate 200, the inner wall of the first opening 211, the inner wall of the second opening 212 and the inner wall of the top opening 213; forming an initial work function material layer (not shown) on the surface of the gate dielectric material layer; forming a ninth mask layer (not shown) within the first opening 211 and on the first nanowire 330; removing part of the initial work function material layer on the surface of the second nanowire 340 by using the ninth mask layer as a mask to form the second work function layer 517 and the first work function layer 515; forming a gate material layer (not shown) on the surfaces of the second work function layer 517 and the first work function layer 515, wherein the gate material layer fills the first opening 211, the second opening 212, and the top opening 213; and flattening the gate material layer, the work function material layer and the gate dielectric material layer until the surface of the second isolation layer 214 is exposed, so as to form the first gate structure and the second gate structure.

In this embodiment, the process of removing a portion of the initial work function material layer includes a wet etching process. The wet etching process has isotropy, so that the thickness of the formed second work function layer 517 is uniform.

The forming process of the first work function layer 515 and the second work function layer 517 includes a chemical vapor deposition process or an atomic layer deposition process.

In this embodiment, the forming process of the first work function layer 515 and the second work function layer 517 includes an atomic layer deposition process. The atomic layer deposition process can form the work function layer which is thin, good in uniformity and compact in structure.

The first gate dielectric layer and the second gate dielectric layer are made of the same material. The first gate dielectric layer and the second gate dielectric layer are made of high-K (more than 3.9) materials, and the high-K materials comprise hafnium oxide or aluminum oxide.

The forming process of the first gate dielectric layer and the second gate dielectric layer comprises a chemical vapor deposition process or an atomic layer deposition process.

In this embodiment, the forming process of the first gate dielectric layer and the second gate dielectric layer includes an atomic layer deposition process. The atomic layer deposition process can form the gate dielectric layer which is thin in thickness, good in uniformity and compact in structure.

The materials of the first gate layer 516 and the second gate layer 518 are the same. The material of the first gate layer 516 and the second gate layer 518 includes a metal, and the metal includes tungsten.

The formation process of the first gate layer 516 and the second gate layer 518 includes a physical vapor deposition process.

Next, forming a third isolation layer on the second isolation layer 214 and the surface of the second gate structure; source plugs are formed in the third isolation layer, the second isolation layer 214 and the source region 209, and drain plugs are formed in the third isolation layer, the second isolation layer 214 and the drain region 210. For the steps, processes and materials of the specific forming process, please refer to fig. 10, which is not described herein again.

FIG. 15 is a cross-sectional view of a semiconductor structure during a process of forming a semiconductor structure according to another embodiment of the present invention.

Referring to fig. 15, fig. 15 is a schematic structural diagram based on fig. 11, after the first nanowire 330 and the second nanowire 340 are formed, a first gate structure is formed in the first opening 211, and a second gate structure is formed in the second opening 212 and the top opening 213.

The first gate structure spans the first nanowire 330 and surrounds the first nanowire 330.

The second gate structure crosses over the second nanowire 340 and surrounds the second nanowire 340.

The first gate structure includes a first gate dielectric layer (not labeled), a first work function layer 615 on the first gate dielectric layer, and a first gate layer 616 on the first work function layer 615.

The second gate structure includes a second gate dielectric layer (not labeled), a second work function layer 617 on the second gate dielectric layer, and a second gate layer 618 on the second work function layer 617.

In this embodiment, the second work function layer 617 is different from the first work function layer 615.

In this embodiment, the second work function layer 617 is of the opposite type to the first work function layer 615.

When the semiconductor structure is an N-type device, the first work function layer 615 is made of an N-type work function material, and the second work function layer 617 is made of a P-type work function material.

When the semiconductor structure is a P-type device, the first work function layer 615 is made of a P-type work function material, and the second work function layer 617 is made of an N-type work function material.

The P-type work function material comprises tantalum nitride or tantalum nitride; the N-type work function material comprises titanium aluminum.

The second work function layer 617 is of a type opposite to that of the first work function layer 615, so that the first work function layer 615 can reduce the turn-on voltage of the first gate structure, and the second work function layer 617 can increase the turn-on voltage of the second gate structure, so that under the same voltage, the circuit current controlled by the first gate structure is larger, and the circuit current controlled by the second gate structure is smaller. Under the combined action of the first threshold adjusting ions and the second threshold adjusting ions, the circuit current controlled by the first grid structure and the circuit current controlled by the second grid structure can better reach a relatively balanced state, and therefore the performance of the semiconductor structure is improved.

In this embodiment, the thickness of the first work function layer 615 ranges from 30 a to 130 a; the thickness of the second work function layer 617 is in a range of 0 to 20 angstroms.

In this embodiment, the first gate structure further includes a predetermined work function layer (not shown), and the first work function layer 615 is located on the predetermined work function layer; the second gate structure further includes a preset work function layer, and the second work function layer 617 is located on the preset work function layer.

The material type of the preset work function layer is the same as the device type of the semiconductor structure. When the semiconductor structure is a P-type device, the preset work function layer is made of a P-type work function material; and when the semiconductor structure is an N-type device, the preset work function layer is made of an N-type work function material.

The preset work function layer reduces the starting voltage of the first grid structure and the second grid structure, so that the overall performance of the semiconductor structure is improved.

In other embodiments, the preset work function layer may not be formed.

In this embodiment, the first gate dielectric layer and the second gate dielectric layer are formed simultaneously; the first gate layer 616 and the second gate layer 618 are formed simultaneously.

The forming method of the first gate structure and the second gate structure comprises the following steps: forming a gate dielectric material layer (not shown) on the surface of the substrate 200, the inner wall of the first opening 211, the inner wall of the second opening 212 and the inner wall of the top opening 213; forming a preset work function layer (not shown) on the surface of the gate dielectric material layer; forming a first work function material layer (not shown) on the preset work function layer; removing the first work function material layer on the surface of the second nanowire 340, and forming a first work function layer 615 on the surface of the first nanowire 330; forming a second work function material layer (not shown) on the surface of the first work function layer 615 and the surface of the second nanowire 340; removing the second work function material layer on the surface of the first work function layer 615, and forming a second work function layer 617 on the surface of the second nanowire 340; forming a gate material layer (not shown) on the surfaces of the second work function layer 617 and the first work function layer 615, wherein the gate material layer fills the first opening 211, the second opening 212, and the top opening 213; and flattening the gate material layer, the work function material layer and the gate dielectric material layer until the surface of the second isolation layer 214 is exposed, so as to form the first gate structure and the second gate structure.

In this embodiment, the first work function material layer and the second work function material layer have a larger etching selection ratio, so that the second work function material layer is less damaged when the first work function material layer is removed, and the first work function material layer is less damaged when the second work function material layer is removed.

In this embodiment, the material of the predetermined work function layer is the same as the material of the first work function material layer.

In this embodiment, the process of removing the first work function material layer includes a wet etching process; the process for removing the second work function material layer comprises a wet etching process.

The wet etching process has isotropy, so that the work function material layer can be removed cleanly.

The forming process of the first work function material layer comprises a chemical vapor deposition process or an atomic layer deposition process. In this embodiment, the forming process of the first work function material layer includes an atomic layer deposition process. The atomic layer deposition process can form the work function layer which is thin, good in uniformity and compact in structure.

The forming process of the second work function material layer comprises a chemical vapor deposition process or an atomic layer deposition process. In this embodiment, the forming process of the second work function material layer includes an atomic layer deposition process. The atomic layer deposition process can form the work function layer which is thin, good in uniformity and compact in structure.

The first gate dielectric layer and the second gate dielectric layer are made of the same material. The first gate dielectric layer and the second gate dielectric layer are made of high-K (more than 3.9) materials, and the high-K materials comprise hafnium oxide or aluminum oxide.

The forming process of the first gate dielectric layer and the second gate dielectric layer comprises a chemical vapor deposition process or an atomic layer deposition process.

In this embodiment, the forming process of the first gate dielectric layer and the second gate dielectric layer includes an atomic layer deposition process. The atomic layer deposition process can form the gate dielectric layer which is thin in thickness, good in uniformity and compact in structure.

The materials of the first gate layer 616 and the second gate layer 618 are the same. The material of the first gate layer 616 and the second gate layer 618 includes a metal, and the metal includes tungsten.

The forming process of the first gate layer 616 and the second gate layer 618 includes a physical vapor deposition process.

Next, forming a third isolation layer on the second isolation layer 214 and the surface of the second gate structure; source plugs are formed in the third isolation layer, the second isolation layer 214 and the source region 209, and drain plugs are formed in the third isolation layer, the second isolation layer 214 and the drain region 210. For the steps, processes and materials of the specific forming process, please refer to fig. 10, which is not described herein again.

Fig. 16-20 are schematic cross-sectional views illustrating a semiconductor structure forming process according to another embodiment of the present invention.

Referring to fig. 16, a substrate 300 is provided, and a channel region is formed on the substrate 300.

The channel region comprises a plurality of layers of stacked fin units, each fin unit comprises a sacrificial layer and an initial nanowire positioned on the top of the sacrificial layer, and the sacrificial layer and the initial nanowire are made of different materials.

In this embodiment, the channel region includes a third fin unit and a fourth fin unit on the third fin unit. The third fin unit comprises a third sacrificial layer 301 on the substrate 300 and a third nanowire 302 on top of the third sacrificial layer 301; the fourth fin cell includes a fourth sacrificial layer 303 on the third nanowire 302 and a fourth nanowire 304 on top of the fourth sacrificial layer 303.

In this embodiment, the material of the substrate 300 is silicon. In other embodiments, the material of the substrate comprises silicon germanium, silicon-on-insulator, or germanium-on-insulator.

The method of forming the first fin unit and the second fin unit includes: forming a third sacrificial material layer (not shown) on the substrate 300; forming a third nanowire material layer (not shown) on the third sacrificial material layer; forming a fourth sacrificial material layer (not shown) on the third nanowire material layer; forming a fourth nanowire material layer (not shown) on the fourth sacrificial material layer; forming a first patterning layer (not shown) on the fourth nanowire material layer; and etching the nanowire material layer and the sacrificial material layer by taking the first patterning layer as a mask until the surface of the substrate 300 is exposed, so that the third sacrificial material layer forms a third sacrificial layer 301, the third nanowire material layer forms a third nanowire 302, the fourth sacrificial material layer forms a fourth sacrificial layer 303, and the fourth nanowire material layer forms a fourth nanowire 304 to form the channel region.

The sacrificial layer and the nanowire are of different materials. Specifically, the third sacrificial layer 301 and the fourth sacrificial layer 303 are made of monocrystalline silicon or monocrystalline silicon germanium; the material of the third nanowire 302 and the fourth nanowire 304 is monocrystalline silicon or monocrystalline silicon germanium.

In this embodiment, the material of the third sacrificial layer 301 and the fourth sacrificial layer 303 is silicon germanium; the material of the third nanowire 302 and the fourth nanowire 304 is monocrystalline silicon.

The sacrificial layer and the nanowire are made of different materials, so that the sacrificial layer and the nanowire have different etching selection ratios, and the nanowire is less damaged when the sacrificial layer is removed subsequently.

With continued reference to fig. 16, after the channel region is formed, a first isolation layer (not shown) is formed on the substrate 300, wherein the first isolation layer covers a portion of the sidewall surface of the third sacrificial layer 301.

The first isolation layer is used to electrically isolate a subsequently formed gate structure from the substrate 300, thereby preventing the performance of the semiconductor structure from being damaged.

Referring to fig. 17, a dummy gate structure is formed on the substrate 300, and the dummy gate structure crosses the channel region; and forming side walls 308 on the side walls of the dummy gate structure, two sides of the third sacrificial layer 301 and two sides of the fourth sacrificial layer 303.

The dummy gate structure includes a dummy gate dielectric layer 306 and a dummy gate layer 207 on the dummy gate dielectric layer 306.

The forming method of the dummy gate structure comprises the following steps: forming a dummy gate dielectric film (not shown) on the substrate 300 to cover the channel region, and forming a dummy gate film (not shown) on the surface of the dummy gate dielectric film; forming a second patterned layer (not shown) on the dummy gate film, the second patterned layer defining the position and size of a dummy gate structure; and etching the pseudo gate dielectric film and the pseudo gate film by taking the second patterning layer as a mask until the top surface of the channel region is exposed, and forming a pseudo gate structure on the channel region.

In this embodiment, the process of etching the dummy gate dielectric film and the dummy gate film includes a dry etching process.

In this embodiment, the material of the dummy gate dielectric layer includes silicon oxide.

The process for forming the pseudo gate dielectric film comprises a chemical vapor deposition process, an atomic layer deposition process or a thermal oxidation process.

In this embodiment, the material of the dummy gate layer includes polysilicon.

The process of forming the dummy gate film includes a physical vapor deposition process.

In this embodiment, the dummy gate structure further includes a protection layer (not shown) on the top surface of the dummy gate layer 307, and the protection layer is used to protect the dummy gate layer when forming the source and drain regions, and also serves as a stop layer for the subsequent planarization of the initial second isolation layer.

The material of the protective layer comprises silicon oxide or silicon nitride. In this embodiment, the material of the protection layer includes silicon nitride.

The forming method of the side wall 308 includes: after the dummy gate structure is formed, removing a part of the third sacrificial layer 301 and the fourth sacrificial layer 303, so that the third sacrificial layer 301 is recessed inward relative to the sidewall of the third nanowire 302, and the fourth sacrificial layer 303 is recessed inward relative to the sidewall of the fourth nanowire 304; after removing part of the third sacrificial layer 301 and the fourth sacrificial layer 303, forming a side wall material film (not shown) on the side wall of the dummy gate structure and the side wall of the channel region; and etching the side wall material film back until the surface of the side wall of the third nanowire 302 and the surface of the side wall of the fourth nanowire 304 are exposed, and forming side walls 308 on the side wall of the pseudo gate structure, two sides of the third sacrificial layer 301 and two sides of the fourth sacrificial layer 303.

Removing a part of the third sacrificial layer 301 and the fourth sacrificial layer 303, so that the third sacrificial layer 301 is recessed inward relative to the sidewall of the third nanowire 302, and the fourth sacrificial layer 303 is recessed inward relative to the sidewall of the fourth nanowire 304, thereby providing a space for forming sidewalls 308 on two sides of the third sacrificial layer 301 and two sides of the fourth sacrificial layer 303.

In this embodiment, the process of removing a portion of the third sacrificial layer 301 and the fourth sacrificial layer 303 includes a wet etching process.

The material of the sidewall spacers 308 includes silicon oxide, silicon nitride, or silicon oxynitride. In this embodiment, the material of the sidewall spacers 308 includes silicon nitride.

In this embodiment, the process of forming the sidewall material film includes a chemical vapor deposition process or an atomic layer deposition process.

Referring to fig. 18, a source region 309 and a drain region 310 are formed on the substrate 300, wherein the source region 309 and the drain region 310 are located at two sides of the channel region; after forming the source region 309 and the drain region 310, removing the dummy gate structure; after removing the dummy gate structure, the third sacrificial layer 301 and the fourth sacrificial layer 303 are removed.

The method for forming the source region 309 and the drain region 310 comprises the following steps: forming grooves (not shown) in the dummy gate structure and the fin structures on the two sides of the sidewall 308; after forming the recesses, source 309 and drain 310 regions are formed in the recesses.

The source region 309 and the drain region 310 are formed by an epitaxial growth process.

The source region 309 and the drain region 310 have source and drain ions therein. The process of doping source and drain ions in the source region 309 and the drain region 310 is an in-situ doping process.

When the semiconductor device is a P-type device, the materials of the source 309 and drain 310 regions include: silicon, germanium or silicon germanium, the source and drain ions are P-type ions, and the P-type ions comprise boron ions and BF2-Ions or indium ions.

When the semiconductor device is an N-type device, the materials of the source 309 and drain 310 regions include: the source and drain ions are N-type ions, and the N-type ions comprise phosphorus ions or arsenic ions.

In other embodiments, the source 309 and drain 310 regions are formed using an ion implantation process.

With continued reference to fig. 18, after forming the source region 309 and the drain region 310, a second isolation layer 314 is formed on the substrate 300 and on the fin structure, wherein the second isolation layer 314 covers sidewalls of the dummy gate structure and exposes a top surface of the dummy gate structure.

The second isolation layer 314 is used to achieve electrical isolation between semiconductor devices.

The method for forming the second isolation layer 314 includes: forming initial second isolation layers (not shown) on the source region 309 and the drain region 310, the dummy gate structure, and the sidewall spacers 208, wherein the initial second isolation layers cover the top surface and the sidewall surface of the dummy gate structure; the initial second isolation layer is planarized until the top surface of the dummy gate protection layer on top of the dummy gate structure is exposed, forming a second isolation layer 314.

The top surface of the second isolation layer 314 is flush with the top surface of the dummy gate structure.

In this embodiment, the material of the second isolation layer 314 includes silicon oxide.

In this embodiment, the process of planarizing the initial second isolation layer includes a chemical mechanical polishing process.

With continued reference to fig. 18, after forming the second isolation layer 314, the dummy gate structure is removed, and a top opening 313 is formed on the fourth nanowire 304; after removing the dummy gate structure, the third sacrificial layer 301 and the fourth sacrificial layer 303 are removed, a third opening 311 is formed between the third nanowire 302 and the substrate 300 and the source region 309 and the drain region 310, and a fourth opening 312 is formed between the fourth nanowire 304 and the third nanowire 302 and the source region 309 and the drain region 310.

The third nanowire 302 serves as a channel for a third gate structure that is subsequently formed within the third opening.

The fourth nanowire 304 serves as a channel for a fourth gate structure that is subsequently formed within the fourth opening.

The step of removing the dummy gate structure comprises: removing the dummy gate layer 307; after removing the dummy gate layer 307, the dummy gate dielectric layer 306 is removed.

The process for removing the dummy gate layer 307 includes one or two of a dry etching process and a wet etching process.

The process for removing the dummy gate dielectric layer 306 includes one or two of a dry etching process and a wet etching process.

After removing the dummy gate structure, the third sacrificial layer 301 and the fourth sacrificial layer 303 are exposed.

The process of removing the third sacrificial layer 301 and the fourth sacrificial layer 303 includes a wet etching process.

Due to the fact that the nanowire is made of different materials from the sacrificial layer, the etching liquid of the wet etching process has different etching selection ratios to the nanowire and the sacrificial layer. The etching solution is used to remove the third sacrificial layer 301 and the fourth sacrificial layer 303, so that the fourth nanowire 304 and the third nanowire 302 are less damaged if the etching rate of the etchant to the fourth nanowire 304 and the third nanowire 302 is slow.

In another embodiment, further comprising: third nanowire 302 is doped with third threshold voltage tuning ions and fourth nanowire 304 is doped with fourth threshold voltage tuning ions. The doping type, the doping method and the specific process of the threshold voltage adjusting ions are described with reference to fig. 8 and fig. 11, and are not repeated herein.

Referring to fig. 19, a third gate structure is formed in the third opening 311, and a fourth gate structure is formed in the fourth opening 312 and the top opening 313.

The third gate structure spans across the third nanowire 302 and surrounds the third nanowire 302.

The fourth gate structure spans the fourth nanowire 304 and surrounds the fourth nanowire 304.

The third gate structure includes a third gate dielectric layer (not labeled), a third work function layer 715 on the third gate dielectric layer, and a third gate layer 716 on the third work function layer 715.

The fourth gate structure includes a fourth gate dielectric layer (not labeled), a fourth work function layer 717 on the fourth gate dielectric layer, and a fourth gate layer 718 on the fourth work function layer 717.

In this embodiment, the fourth work function layer 717 is different from the third work function layer 715.

In this embodiment, the fourth work function layer 717 is the same type as the third work function layer 715, and the thickness of the third work function layer 715 is greater than that of the fourth work function layer 717.

The thickness of the third work function layer 715 is greater than that of the fourth work function layer 717, so that the third work function layer 715 can greatly reduce the turn-on voltage of the first gate structure, and the effect of the fourth work function layer 717 on reducing the turn-on voltage of the second gate structure is slight, so that under the same voltage, the circuit current controlled by the first gate structure is larger, and the circuit current controlled by the second gate structure is smaller, so that the circuit current controlled by the first gate structure and the circuit current controlled by the second gate structure can better reach a relatively balanced state, and the performance of the semiconductor structure is improved.

When the semiconductor structure is an N-type device, the material of the third work function layer and the material of the fourth work function layer are N-type work function materials; the N-type work function material comprises tantalum nitride or aluminum nitride.

When the semiconductor structure is a P-type device, the material of the third work function layer and the material of the fourth work function layer are P-type work function materials; the P-type work function material comprises titanium aluminum.

In this embodiment, the thickness of the third work function layer 715 is in a range from 25 angstroms to 120 angstroms; the thickness of the fourth work function layer 717 is in the range of 0 to 100 angstroms.

In this embodiment, the third gate dielectric layer and the fourth gate dielectric layer are formed simultaneously; the third gate layer 716 and the fourth gate layer 718 are formed simultaneously.

The forming method of the third gate structure and the fourth gate structure comprises the following steps: forming a gate dielectric material layer (not shown) on the surface of the substrate 300, the inner wall of the third opening 311, the inner wall of the fourth opening 312 and the inner wall of the top opening 313; forming an initial work function material layer (not shown) on the surface of the gate dielectric material layer; forming a patterned layer (not shown) within the third opening 311 and on the third nanowire 302; removing a portion of the initial work function material layer on the surface of the fourth nanowire 304 by using the patterning layer as a mask, thereby forming the fourth work function layer 717 and the third work function layer 715; forming a gate material layer (not shown) on the surfaces of the fourth work function layer 717 and the third work function layer 715, wherein the gate material layer fills the third opening 311, the fourth opening 312, and the top opening 313; and flattening the gate material layer, the work function material layer and the gate dielectric material layer until the surface of the second isolation layer 314 is exposed, so as to form the first gate structure and the second gate structure.

In this embodiment, the process of removing a portion of the initial work function material layer includes a wet etching process. The wet etching process has isotropy, which enables the second work function layer 717 to be formed with a uniform thickness.

The third work function layer 715 and the fourth work function layer 717 may be formed by a chemical vapor deposition process or an atomic layer deposition process.

In this embodiment, the process of forming the third work function layer 715 and the fourth work function layer 717 includes an atomic layer deposition process. The atomic layer deposition process can form the work function layer which is thin, good in uniformity and compact in structure.

And the third gate dielectric layer and the fourth gate dielectric layer are made of the same material. The third gate dielectric layer and the fourth gate dielectric layer are made of high-K (more than 3.9) materials, and the high-K materials comprise hafnium oxide or aluminum oxide.

The third gate dielectric layer and the fourth gate dielectric layer are formed by a chemical vapor deposition process or an atomic layer deposition process.

In this embodiment, the forming process of the third gate dielectric layer and the fourth gate dielectric layer includes an atomic layer deposition process. The atomic layer deposition process can form the gate dielectric layer which is thin in thickness, good in uniformity and compact in structure.

The third gate layer 716 and the fourth gate layer 718 are made of the same material. The material of the third gate layer 716 and the fourth gate layer 718 includes a metal, and the metal includes tungsten.

The formation process of the third gate layer 716 and the fourth gate layer 718 includes a physical vapor deposition process.

Referring to fig. 20, after forming the third gate structure and the fourth gate structure, a third isolation layer 319 is formed on the surfaces of the second isolation layer 314 and the fourth gate structure; source plugs 320 are formed in the third isolation layer 319, the second isolation layer 314, and the source region 309, and drain plugs 321 are formed in the third isolation layer 319, the second isolation layer 314, and the drain region 310.

The third isolation layer 319 is used to protect the gate structure from being damaged during the process of forming the source plug and the drain plug, and the third isolation layer 319 and the second isolation layer 314 together provide structural support for the source plug and the drain plug.

In this embodiment, the material of the third isolation layer 319 includes silicon oxide.

The source plug 320 and the drain plug 321 are simultaneously formed.

The method for forming the source plug 320 and the drain plug 321 includes: forming a patterned layer (not shown) on the surface of the third isolation layer 319, wherein the patterned layer exposes a portion of the surface of the third isolation layer 319; etching the third isolation layer 319, the second isolation layer 314, and the source region 309 and the drain region 310 using the patterned layer as a mask, and forming grooves (not shown) in the third isolation layer 319, the second isolation layer 314, and the source region 309 and the drain region 310; forming a plug material layer (not shown) within the recess; the plug material layer is planarized until the surface of the third isolation layer 319 is exposed, forming the source plug 320 and the drain plug 321.

In this embodiment, the process of etching the third isolation layer 319, the second isolation layer 314, and the source region 309 and the drain region 310 includes a dry etching process.

In this embodiment, the process of planarizing the plug material layer includes a chemical mechanical polishing process.

In the formed semiconductor structure, the circuit current controlled by the third gate structure and the circuit current controlled by the fourth gate structure are relatively balanced, so that the performance of the semiconductor structure is improved.

Accordingly, an embodiment of the present invention further provides a semiconductor structure formed by the above method, with reference to fig. 20, including:

a substrate 300, wherein the substrate 300 has a fin structure thereon, the fin structure comprises a channel region, a source region 309 and a drain region 310, the channel region is located between the source region 309 and the drain region 310, the channel region comprises a third nanowire 302 and a fourth nanowire 304 located on the third nanowire 302, a third opening is provided between the third nanowire 302 and the substrate 300 and between the source region 309 and the drain region 310, and a fourth opening is provided between the fourth nanowire 304 and the third nanowire 302 and between the source region 309 and the drain region 310;

a third gate structure located within the third opening and crossing the third nanowire 302, the third gate structure surrounding the third nanowire 302, the third gate structure comprising a third work function layer 715;

a fourth gate structure located within the fourth opening and crossing the fourth nanowire 304, the fourth gate structure surrounding the fourth nanowire 304, the fourth gate structure comprising a fourth work function layer 717, the fourth work function layer 717 being different from the third work function layer 715;

the third work function layer 715 and the fourth work function layer 717 are of the same type, and the third work function layer 715 is thicker than the fourth work function layer 717; alternatively, the third work function layer 715 and the fourth work function layer 717 are of opposite types.

FIG. 21 is a cross-sectional view of a semiconductor structure during a process of forming a semiconductor structure in accordance with another embodiment of the present invention.

Referring to fig. 21, fig. 21 is a schematic structural diagram based on fig. 18, in which a third gate structure is formed in the third opening 311, and a fourth gate structure is formed in the fourth opening 312 and the top opening 313.

The third gate structure spans across the third nanowire 302 and surrounds the third nanowire 302.

The fourth gate structure spans the fourth nanowire 304 and surrounds the fourth nanowire 304.

The third gate structure includes a third gate dielectric layer (not labeled), a third work function layer 815 on the third gate dielectric layer, and a third gate layer 816 on the third work function layer 815.

The fourth gate structure includes a fourth gate dielectric layer (not labeled), a fourth work function layer 817 located on the fourth gate dielectric layer, and a fourth gate layer 818 located on the fourth work function layer 817.

In this embodiment, the fourth work function layer 817 is different from the third work function layer 815.

In this embodiment, the fourth work function layer 817 is of the opposite type to the third work function layer 815.

When the semiconductor structure is an N-type device, the third work function layer 8155 is made of an N-type work function material, and the fourth work function layer 817 is made of a P-type work function material.

When the semiconductor structure is a P-type device, the third work function layer 815 is made of a P-type work function material, and the fourth work function layer 817 is made of an N-type work function material.

The P-type work function material comprises tantalum nitride or tantalum nitride; the N-type work function material comprises titanium aluminum.

The type of the fourth work function layer 817 is opposite to that of the third work function layer 815, so that the third work function layer 815 can reduce the turn-on voltage of the third gate structure, and the fourth work function layer 817 can increase the turn-on voltage of the fourth gate structure, so that the circuit current controlled by the third gate structure is larger and the circuit current controlled by the fourth gate structure is smaller under the same voltage, so that the circuit current controlled by the third gate structure and the circuit current controlled by the fourth gate structure can better reach a relatively balanced state, and the performance of the semiconductor structure is improved.

In this embodiment, the thickness of the third work function layer 815 is in a range of 30 to 130 angstroms; the thickness of the fourth work function layer 817 is in the range of 0 to 20 angstroms.

In this embodiment, the third gate structure further includes a predetermined work function layer (not shown), and the third work function layer 815 is located on the predetermined work function layer; the fourth gate structure further includes a preset work function layer, and the fourth work function layer 817 is located on the preset work function layer.

The material type of the preset work function layer is the same as the device type of the semiconductor structure. When the semiconductor structure is a P-type device, the preset work function layer is made of a P-type work function material; and when the semiconductor structure is an N-type device, the preset work function layer is made of an N-type work function material.

The preset work function layer reduces the starting voltage of the third gate structure and the fourth gate structure, so that the overall performance of the semiconductor structure is improved.

In other embodiments, the preset work function layer may not be formed.

In this embodiment, the third gate dielectric layer and the fourth gate dielectric layer are formed simultaneously; the third gate layer 816 and the fourth gate layer 818 are formed simultaneously.

The forming method of the third gate structure and the fourth gate structure comprises the following steps: forming a gate dielectric material layer (not shown) on the surface of the substrate 300, the inner wall of the third opening 311, the inner wall of the fourth opening 312 and the inner wall of the top opening 313; forming a preset work function layer (not shown) on the surface of the gate dielectric material layer; forming a third work function material layer (not shown) on the preset work function layer; removing the third work function material layer on the surface of the fourth nanowire 304, and forming a third work function layer 815 on the surface of the third nanowire 302; forming a fourth work function material layer (not shown) on the surface of the third work function layer 815 and the surface of the fourth nanowire 304; removing the fourth work function material layer on the surface of the third work function layer 815, and forming a fourth work function layer 817 on the surface of the fourth nanowire 304; forming a gate material layer (not shown) on the surfaces of the fourth work function layer 817 and the third work function layer 815, wherein the gate material layer fills the third opening 311, the fourth opening 312, and the top opening 313; and flattening the gate material layer, the work function material layer and the gate dielectric material layer until the surface of the second isolation layer 314 is exposed, so as to form the third gate structure and the fourth gate structure.

In this embodiment, the third work function material layer and the fourth work function material layer have a larger etching selection ratio, so that the fourth work function material layer is less damaged when the third work function material layer is removed, and the third work function material layer is less damaged when the fourth work function material layer is removed.

In this embodiment, the material of the predetermined work function layer is the same as the material of the third work function material layer.

In this embodiment, the process of removing the third work function material layer includes a wet etching process; the process for removing the fourth work function material layer comprises a wet etching process.

The wet etching process has isotropy, so that the work function material layer can be removed cleanly.

The forming process of the third work function material layer comprises a chemical vapor deposition process or an atomic layer deposition process. In this embodiment, the forming process of the third work function material layer includes an atomic layer deposition process. The atomic layer deposition process can form the work function layer which is thin, good in uniformity and compact in structure.

The forming process of the fourth work function material layer comprises a chemical vapor deposition process or an atomic layer deposition process. In this embodiment, the forming process of the fourth work function material layer includes an atomic layer deposition process. The atomic layer deposition process can form the work function layer which is thin, good in uniformity and compact in structure.

And the third gate dielectric layer and the fourth gate dielectric layer are made of the same material. The third gate dielectric layer and the fourth gate dielectric layer are made of high-K (more than 3.9) materials, and the high-K materials comprise hafnium oxide or aluminum oxide.

The third gate dielectric layer and the fourth gate dielectric layer are formed by a chemical vapor deposition process or an atomic layer deposition process.

In this embodiment, the forming process of the third gate dielectric layer and the fourth gate dielectric layer includes an atomic layer deposition process. The atomic layer deposition process can form the gate dielectric layer which is thin in thickness, good in uniformity and compact in structure.

The third gate layer 816 and the fourth gate layer 818 are made of the same material. The material of the third gate layer 816 and the fourth gate layer 818 includes a metal, and the metal includes tungsten.

The formation process of the third gate layer 816 and the fourth gate layer 818 includes a physical vapor deposition process.

Next, forming a third isolation layer on the surfaces of the second isolation layer 314 and the fourth gate structure; source plugs are formed in the third isolation layer, the second isolation layer 314, and the source region 309, and drain plugs are formed in the third isolation layer, the second isolation layer 314, and the drain region 310. For the steps, processes and materials of the specific forming process, please refer to fig. 20, which is not described herein again.

Although the present invention is disclosed above, the present invention is not limited thereto. Various changes and modifications may be effected therein by one skilled in the art without departing from the spirit and scope of the invention as defined in the appended claims.

40页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:半导体装置

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!