Semiconductor element and method for manufacturing the same

文档序号:10292 发布日期:2021-09-17 浏览:27次 中文

阅读说明:本技术 半导体元件及其制备方法 (Semiconductor element and method for manufacturing the same ) 是由 施信益 于 2021-03-03 设计创作,主要内容包括:本公开提供一种半导体元件及该半导体元件的制备方法。该半导体元件具有一基底以及一晶体管,该基底具有一第一区,该第一晶体管位于该第一区中。该第一晶体管具有一第一下栅极结构、一第一通道层、一第一上栅极结构以及两个第一源极/漏极区,该第一下栅极结构位于该基底上,该第一通道层位于该第一下栅极结构上,该第一上栅极结构位于该第一通道层上,且该两个第一源极/漏极区位于该第一通道层的两侧上。(The present disclosure provides a semiconductor device and a method for manufacturing the same. The semiconductor element comprises a substrate and a transistor, wherein the substrate is provided with a first area, and the first transistor is positioned in the first area. The first transistor has a first lower gate structure, a first channel layer, a first upper gate structure and two first source/drain regions, the first lower gate structure is located on the substrate, the first channel layer is located on the first lower gate structure, the first upper gate structure is located on the first channel layer, and the two first source/drain regions are located on two sides of the first channel layer.)

1. A semiconductor component, comprising:

a substrate including a first region; and

a first transistor located in the first region;

the first transistor comprises a first lower gate structure, a first channel layer, a first upper gate structure and two first source/drain regions, wherein the first lower gate structure is positioned on the substrate, the first channel layer is positioned on the first lower gate structure, the first upper gate structure is positioned on the first channel layer, and the two first source/drain regions are positioned on two sides of the first channel layer.

2. The semiconductor device as defined in claim 1, wherein the first lower gate structure comprises a first lower gate electrode on the substrate, two first lower gate spacers on two sides of the first lower gate electrode, and a first lower gate dielectric between the first lower gate electrode and the first channel layer.

3. The semiconductor device of claim 2, wherein the first upper gate structure comprises a first upper gate dielectric, a first lower work function layer, and a first fill layer, the first upper gate dielectric overlying the first channel layer, the first lower work function layer overlying the first upper gate dielectric, and the first fill layer overlying the first lower work function layer.

4. The semiconductor device as defined in claim 3, wherein the first lower work function layer has a thickness betweenToIn the meantime.

5. The semiconductor device as defined in claim 3, further comprising a first upper work function layer between the first lower work function layer and the first fill layer.

6. The semiconductor device as defined in claim 5, wherein the first upper work function layer has a thickness betweenToIn the meantime.

7. The semiconductor component of claim 5, further comprising two first upper gate spacers located on either side of the first upper gate dielectric.

8. The semiconductor device of claim 7, further comprising two first contacts on the two first source/drain regions.

9. The semiconductor device as claimed in claim 8, further comprising two first conductive layers between the two first contact points and the two first source/drain regions.

10. The semiconductor device as defined in claim 9, wherein each first conductive layer has a thickness of between 2nm and 20 nm.

11. The semiconductor device as defined in claim 8, further comprising a first lower isolation layer under the first lower gate structure.

12. The semiconductor device according to claim 11, further comprising a first channel separation layer under the first lower isolation layer, wherein the first channel separation layer is doped with phosphorus, arsenic, antimony, or boron.

13. The semiconductor device as claimed in claim 11, further comprising a first buffer layer under the first lower isolation layer, wherein the first buffer layer has a lattice constant different from a lattice constant of the substrate.

14. The semiconductor device of claim 5, further comprising a second transistor located in a second region of said substrate, wherein said second transistor comprises a second lower gate structure located on said substrate, a second channel layer located on said second lower gate structure, and a second upper gate structure located on said second channel layer, wherein said second channel layer and said first channel layer are located on a same vertical plane.

15. The semiconductor device of claim 14, wherein the second upper gate structure comprises a second upper gate dielectric on the second channel layer, the second upper gate dielectric having a thickness less than a thickness of the first upper gate dielectric.

16. A method for manufacturing a semiconductor device includes:

providing a substrate;

forming a first lower gate structure on the substrate;

forming a first channel layer on the first lower gate structure;

forming a first upper gate structure on the first channel layer; and

two first source/drain regions are formed on both sides of the first channel layer.

17. The method of claim 16, wherein the first channel layer is made of: silicon, germanium, silicon germanium, indium gallium arsenide, indium arsenide, antimony gallium, antimony indium or combinations thereof.

18. The method of claim 17, wherein the step of forming the first lower gate structure comprises:

forming a layer of a first semiconductor material, a layer of a first isolation material, and a layer of a second semiconductor material on the substrate;

forming a first dummy structure on the layer of second semiconductor material;

performing an etching process to form a plurality of recesses and convert the layer of the first isolation material into a first bottom gate dielectric and the layer of the second semiconductor material into the first channel layer;

performing a lateral etching process to form a plurality of lateral recesses and convert the layer of the first semiconductor material into the first bottom gate electrode; and

forming two first lower gate spacers in the plurality of lateral recesses;

wherein the first lower gate electrode, the two first lower gate spacers, and the first lower gate dielectric together form the first lower gate structure.

19. The method of claim 18, wherein the two first lower gate spacers are made of: silicon oxide, silicon nitride, silicon oxynitride, or silicon nitride oxide.

20. The method of claim 18, wherein the two first bottom gate spacers have a porosity between 10% and 100%.

Technical Field

The present disclosure relates to a semiconductor device and a method for manufacturing the semiconductor device. And more particularly, to a semiconductor device having a channel layer controlled by a plurality of gate structures and a method of fabricating the semiconductor device having the channel layer.

Background

Semiconductor devices are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, or other electronic devices. The size of semiconductor devices is gradually becoming smaller to meet the increasing demand for computing power. However, during the process of becoming smaller in size, different problems increase, and such problems continue to increase in number and complexity. Thus, there is a continuing challenge to achieve improved quality, yield, performance, and reliability, as well as reduced complexity.

The above description of "prior art" merely provides background, and is not an admission that the above description of "prior art" discloses the subject matter of the present disclosure, does not constitute prior art to the present disclosure, and that any description of "prior art" above should not be taken as an admission that such description is prior art to the present disclosure.

Disclosure of Invention

The present disclosure is directed to a semiconductor device and a method for manufacturing the same, which solves at least one of the problems described above.

An embodiment of the present disclosure provides a semiconductor device having a substrate including a first region; and a first transistor located in the first region. The first transistor comprises a first lower gate structure, a first channel layer, a first upper gate structure and two first source/drain regions, wherein the first lower gate structure is positioned on the substrate, the first channel layer is positioned on the first lower gate structure, the first upper gate structure is positioned on the first channel layer, and the two first source/drain regions are positioned on two sides of the first channel layer.

In some embodiments of the present disclosure, the first lower gate structure includes a first lower gate electrode on the substrate, two first lower gate spacers on both sides of the first lower gate electrode, and a first lower gate dielectric between the first lower gate electrode and the first channel layer.

In some embodiments of the present disclosure, the first upper gate structure includes a first upper gate dielectric on the first channel layer, a first lower work function layer on the first upper gate dielectric, and a first fill layer on the first lower work function layer.

In some embodiments of the present disclosure, the first lower work function layer has a thickness between aboutToIn the meantime.

In some embodiments of the present disclosure, the semiconductor device further includes a first upper work function layer between the first lower work function layer and the first filling layer.

In some embodiments of the present disclosure, the first upper work function layer has a thickness between aboutTo aboutIn the meantime.

In some embodiments of the present disclosure, the semiconductor element further comprises two first upper gate spacers located on both sides of the first upper gate dielectric.

In some embodiments of the present disclosure, the semiconductor device further includes two first contacts on the two first source/drain regions.

In some embodiments of the present disclosure, the semiconductor element further includes two first conductive layers between the two first contact points and the two first source/drain regions.

In some embodiments of the present disclosure, each first conductive layer has a thickness between about 2nm and 20 nm.

In some embodiments of the present disclosure, the semiconductor device further includes a first lower isolation layer under the first lower gate structure.

In some embodiments of the present disclosure, the semiconductor device further includes a first channel separation layer located below the first lower isolation layer, wherein the first channel separation layer is doped with phosphorus, arsenic, antimony, or boron.

In some embodiments of the present disclosure, the semiconductor device further includes a first buffer layer disposed below the first lower isolation layer, wherein the first buffer layer has a lattice constant different from a lattice constant of the substrate.

In some embodiments of the present disclosure, the semiconductor device further includes a second transistor located in a second region of the substrate. The second transistor comprises a second lower gate structure, a second channel layer and a second upper gate structure, wherein the second lower gate structure is located on the substrate, the second channel layer is located on the second lower gate structure, and the second upper gate structure is located on the second channel layer. The second channel layer and the first channel layer are located on the same vertical plane.

In some embodiments of the present disclosure, the second upper gate structure includes a second upper gate dielectric on the second channel layer, and the second upper gate dielectric has a thickness less than a thickness of the first upper gate dielectric.

Another embodiment of the present disclosure provides a method for manufacturing a semiconductor device. The preparation method comprises the following steps: providing a substrate; forming a first lower gate structure on the substrate; forming a first channel layer on the first lower gate structure; forming a first upper gate structure on the first channel layer; and forming two first source/drain regions on both sides of the first channel layer.

In some embodiments of the present disclosure, the first channel layer is made of: silicon, germanium, silicon germanium (silicon germanium), indium gallium arsenide (indium gallium arsenide), indium arsenide (indium arsenide), gallium antimony (antimony indium), indium antimony (indium antimony indium), or combinations thereof.

In some embodiments of the present disclosure, the step of forming the first lower gate structure includes: forming a layer of a first semiconductor material, a layer of a first isolation material, and a layer of a second semiconductor material on the substrate; forming a first dummy structure on the layer of second semiconductor material; performing an etching process to form a plurality of recesses and convert the layer of the first isolation material into a first bottom gate dielectric and the layer of the second semiconductor material into the first channel layer; performing a lateral etching process to form a plurality of lateral recesses and convert the layer of the first semiconductor material into the first bottom gate electrode; and forming two first lower gate spacers in the plurality of lateral recesses. The first lower gate electrode, the two first lower gate spacers, and the first lower gate dielectric together form the first lower gate structure.

In some embodiments of the present disclosure, the two first lower gate spacers are made of: silicon oxide, silicon nitride, silicon oxynitride, or silicon nitride oxide (silicon nitride oxide).

In some embodiments of the present disclosure, the two first lower gate spacers have a porosity between about 10% and about 100%.

Due to the design of the semiconductor device of the present disclosure, the conductive state of the first channel layer can be controlled by the first lower gate structure and the first upper gate structure at the same time. As a result, leakage current in the first channel layer may be reduced. In addition, the first upper gate dielectric and the second upper gate dielectric with different thicknesses can have different threshold voltages and can provide different functions; therefore, the applicability (applicability) of the semiconductor element can be increased.

The foregoing has outlined rather broadly the features and advantages of the present disclosure in order that the detailed description of the disclosure that follows may be better understood. Additional features and advantages will be described hereinafter which form the subject of the claims of the disclosure. It should be appreciated by those skilled in the art that the conception and specific embodiment disclosed may be readily utilized as a basis for modifying or designing other structures or processes for carrying out the same purposes of the present disclosure. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the disclosure as set forth in the appended claims.

Drawings

A more complete understanding of the present disclosure may be derived by referring to the embodiments when considered in conjunction with the following claims, wherein like reference numbers refer to similar elements throughout the figures.

Fig. 1 is a schematic cross-sectional view of a semiconductor device according to an embodiment of the present disclosure.

Fig. 2 is an enlarged cross-sectional view of the semiconductor device according to fig. 1.

Fig. 3 to 5 are schematic cross-sectional views of semiconductor devices according to an embodiment of the present disclosure.

Fig. 6 is an enlarged cross-sectional view of the semiconductor device according to fig. 5.

Fig. 7 is an enlarged cross-sectional view of a semiconductor device according to an embodiment of the present disclosure.

Fig. 8 is a schematic cross-sectional view of a semiconductor device according to an embodiment of the present disclosure.

Fig. 9 is a flow chart illustrating a method for fabricating a semiconductor device according to an embodiment of the present disclosure.

Fig. 10 to 26 are schematic cross-sectional views illustrating a flow of a method for manufacturing the semiconductor device according to an embodiment of the present disclosure.

The reference numbers are as follows:

10A semiconductor element

10B semiconductor element

10C semiconductor element

10D semiconductor element

10E semiconductor element

10F semiconductor element

101 base

103 first region

105 the second region

107 insulating structure

109 first spacer layer

201 first transistor

203 first lower gate structure

205 first bottom gate electrode

207 first lower gate spacer

209 first bottom gate dielectric

211 first channel layer

213 first upper gate structure

215 first upper gate dielectric

215-1 first lower sublayer

215-3 first intermediate sublayer

215-5 first upper sublayer

217 first lower work function layer

219 first upper work function layer

221 first filling layer

223 first upper grid spacer

225 first Source/Drain region

227: first contact point

229 first conductive layer

231 first channel separation layer

233 first lower isolation layer

235 first buffer layer

237 the first interface layer

239 first coupling electrode layer

241 first functional layer

243 first adjusting layer

245 first protective layer

247 first encapsulating layer

249 first cap layer

301 second transistor

303 second lower gate structure

305 second bottom gate electrode

307 second bottom gate spacer

309 second bottom gate dielectric

311 second channel layer

313 second upper gate structure

315 second upper gate dielectric

315-1 second lower sublayer

315-3 second intermediate sublayer

315-5 second upper sublayer

317 second lower work function layer

321 second filling layer

323 second top gate spacer

325 second source/drain region

327 second contact point

329 second conductive layer

331 second channel separation layer

333 second lower spacer layer

335 second buffer layer

343 second adjustment layer

349 second cap layer

401 first semiconductor material

403 first isolating Material

405 second semiconductor material

407 third isolation Material

409 fourth insulating material

411 fifth insulating Material

413 lower work function material

415 upper work function material

417 filling material

501 first virtual Structure

503 first lower virtual layer

505 first intermediate virtual layer

507 first upper virtual layer

601 second virtual Structure

603 second lower virtual layer

605 second intermediate virtual layer

607 second upper virtual layer

701 recess

703 lateral recess

705 first trench

707 second groove

709 contact opening

801 first mask layer

803 second mask layer

805 second insulating layer

Z is the direction

20 method

S11 step

S13 step

S15 step

S17 step

S19 step

S21 step

S23 step

S25 step

S27 step

S29 step

S31 step

Detailed Description

Specific examples of components and arrangements are described below to simplify the present disclosure. Of course, these examples are merely illustrative and are not intended to limit the scope of the present disclosure. For example, the formation of a first feature over a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed intermediate the first and second features such that the first and second features may not be in direct contact. In addition, embodiments of the present disclosure may repeat reference numerals and/or letters in the various examples. These repetitions are for simplicity and clarity and do not, in themselves, represent a particular relationship between the various embodiments and/or configurations discussed, unless specifically stated in the context.

Furthermore, for ease of description, spatially relative terms such as "below", "lower", "above", "upper", and the like may be used herein to describe one element or feature's relationship to another (other) element or feature as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the elements in use or operation in addition to the orientation depicted in the figures. The device may have other orientations (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly as such.

It will be understood that forming one element over (on), connected to (connected to), and/or coupled to another element may include embodiments in which the elements are formed in direct contact, and may also include embodiments in which additional elements are formed between the elements such that the elements are not in direct contact.

It will be understood that, although the terms first, second, third, etc. may be used herein to describe various elements, components, regions, layers or sections, these elements, components, regions, layers or sections should not be limited by these terms. Rather, these terms are only used to distinguish one element, component, region, layer or section from another region, layer or section. Thus, a first element, component, region, layer or section discussed below could be termed a second element, component, region, layer or section without departing from the teachings of the present inventive concept.

Unless otherwise indicated in the context, when representing orientation (orientation), layout (layout), location (location), shape (shapes), size (sizes), quantity (amounts), or other measurements (measures), then terms (terms), such as "same", "equal", "flat", or "coplanar", as used herein, are not necessarily meant to refer to exactly the same orientation, layout, location, shape, size, quantity, or other measurement, but are meant to include, within acceptable differences, more or less the exact same orientation, layout, location, shape, size, quantity, or other measurement, which may occur, for example, as a result of manufacturing processes. The term "substantially" may be used herein to convey this meaning. Such as, for example, substantially identical (substitionally the same), substantially equal (substitionally equivalent), or substantially flat (substitional planar), exactly identical, equal, or flat, or they may be identical, equal, or flat within acceptable differences that may occur, for example, as a result of a manufacturing process.

It should be understood that the term "about" modifies an ingredient (ingredient), a quantity of a part (quantity), or a reactant of the present disclosure, which is a variation in the quantity of a value (variation) that may occur, for example, via typical measurement and liquid handling procedures used to make concentrates or solutions. Furthermore, variations may arise from unintended errors in the measurement process (inadvertent errors), differences in manufacturing (differences), sources (sources), or purity of the components (purity) applied in manufacturing the components (compositions) or performing the methods, or the like. In one aspect, the term "about" means within 10% of the reported numerical value. In another aspect, the term "about" means within 5% of the reported numerical value. In yet another aspect, the term "about" means within 10, 9, 8, 7, 6, 5, 4, 3, 2, or 1% of the reported numerical value.

In the present disclosure, a semiconductor device generally means a device that can operate by utilizing semiconductor characteristics (semiconductor characteristics), and an electro-optical device (light-emitting display device), a semiconductor circuit (semiconductor circuit), and an electronic device (electronic device) are included in the category of semiconductor devices.

It should be understood that in the description of the present disclosure, the upper (above) corresponds to the direction of the Z-direction arrow, and the lower (below) corresponds to the opposite direction of the Z-direction arrow.

It should be understood that in the description of the present disclosure, a surface of an element (or a feature) at the highest vertical height (level) along direction Z is an upper surface of the element (or the feature). A surface of an element (or a feature) at the lowest vertical level (level) along the direction Z is a lower surface of the element (or the feature).

Fig. 1 is a schematic cross-sectional view of a semiconductor device 10A according to an embodiment of the present disclosure. Fig. 2 is an enlarged cross-sectional view of the semiconductor device 10A according to fig. 1.

Referring to fig. 1, the semiconductor device 10A may have a substrate 101, a plurality of insulating structures 107, a first isolation layer 109, a first transistor 201, and a second transistor 301.

Referring to fig. 1 and 2, the substrate 101 may include a first region 103 and a second region 105. The first region 103 and the second region 105 may be disposed adjacent to each other. In some embodiments, the first region 103 and the second region 105 may be disposed apart from each other. For example, the substrate 101 may be made of: silicon, germanium, silicon germanium (SiGe), silicon carbon (SiC), silicon germanium carbon (SiGe), gallium arsenide (GaAs), indium arsenide (indium arsenide), indium phosphide (indium phosphide), or other group IV, III-V, or II-VI semiconductor materials. The substrate 101 may have a first lattice constant (lattice constant). In some examples, the substrate 101 may include an organic semiconductor or a stacked semiconductor such as silicon/silicon germanium, silicon-on-insulator (soi), or silicon germanium-on-insulator (soi).

It should be understood that the first region 103 may include a portion of the substrate 101 and a space located over the portion of the substrate 101. Describing that an element is provided on the first region 103 means that the element is provided on an upper surface of the portion of the substrate 101. In some embodiments, it is described that an element is disposed in the first region 103, meaning that the element is disposed in the portion of the substrate 101; however, an upper surface of the element may be flush with the upper surface of the portion of the substrate 101. In some embodiments, a feature is described as being disposed in the first region 103, meaning that some portions of the element are disposed in the substrate 101 and other portions of the element are disposed on or above the substrate 101.

Accordingly, the second region 105 may include other portions of the substrate 101 and a space on the other portions of the substrate 101.

Referring to fig. 1 and 2, a plurality of insulating structures 107 may be disposed in the first region 103 and the second region 105. The upper surfaces of the insulating structures 107 may be located at a vertical level higher than a vertical level of the substrate 101. A plurality of insulating structures 107 disposed in the first region 103 may define a first active region. A plurality of insulating structures 107 disposed in the second region 105 may define a second active region. For example, the insulating structure 107 may be made of an isolation material, such as silicon oxide, silicon nitride, silicon oxynitride (silicon oxynitride), silicon nitride oxide (silicon nitride oxide), or fluorine-doped silicate.

It should be understood that in the present disclosure, silicon oxynitride represents a substance (substance) containing silicon, nitrogen (nitrogen), and oxygen (oxygen), wherein the proportion of oxygen is greater than the proportion of nitrogen. Silicon oxide nitride represents a substance containing silicon, oxygen, and nitrogen, wherein the proportion of nitrogen is greater than that of oxygen.

Referring to fig. 1 and 2, the first transistor 201 may be disposed in the first region 103, and the second transistor 301 may be disposed in the second region 105. In some embodiments, the first transistor 201 and the second transistor 301 may have a same threshold voltage. In some embodiments, the first transistor 201 and the second transistor 301 may have different threshold voltages. The first transistor 201 may have a first lower gate structure 203, a first channel layer 211, a first upper gate structure 213, two first source/drain regions 225, two first contacts 227, and two first conductive layers 229.

Referring to fig. 1 and 2, the first lower gate structure 203 may be disposed on the first region 103 and between an adjacent pair of the insulating structures 107 disposed in the first region 103. The first bottom gate structure 203 may have a first bottom gate electrode 205, two first bottom gate spacers 207, and a first bottom gate dielectric 209.

Referring to fig. 1 and 2, the first bottom gate electrode 205 may be disposed on the first region 103. For example, the first lower gate electrode 205 may be made of a conductive material, such as polysilicon (polycrystalline silicon), polysilicon germanium (polycrystalline silicon germanium), or a combination thereof. In some embodiments, the first bottom gate electrode 205 may be doped with a dopant, such as phosphorus, arsenic, antimony, or boron. In some embodiments, for example, the first lower gate electrode 205 may be made of: tungsten, aluminum, titanium, copper, tantalum, molybdenum, tantalum nitride, nickel silicide (nickel silicide), cobalt silicide (cobalt silicide), titanium nitride, tungsten nitride, titanium aluminide (titanium aluminide), titanium aluminum nitride (titanium aluminide), tantalum carbide (tantalum carbide), tantalum silicon nitride (tantalum silicon nitride), or combinations thereof.

Referring to fig. 1 and 2, two first lower gate spacers 207 may be disposed on both sides of the lower gate electrode 205. In some embodiments, the interface between the first lower gate electrode 205 and the two first lower gate spacers 207 is curved (not shown in fig. 1). In some embodiments, for example, the two first lower gate spacers 207 may be made of an isolation material, such as silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, or the like.

In some embodiments, the two first lower gate spacers 207 may be made from an energy-removable material and may have a porosity of between about 10% and about 100%. The two first lower gate spacers 207 may have a skeleton (skeleton) and a plurality of empty spaces disposed between the skeletons. The plurality of empty spaces may be connected to each other and may be filled with air. For example, the backbone may comprise silicon oxide, a low dielectric material, or methyl silicate (methylsilsesquioxane). It should be understood that when the porosity is 100%, it means that the two first lower gate spacers 207 have only empty spaces, and the two first lower gate spacers 207 may be regarded as air gaps. In some embodiments, the porosity of the two first lower gate spacers 207 may be between 45% to 75%. The empty spaces of the two first lower gate spacers 207 may be filled with air. As a result, a dielectric constant of the two first lower gate spacers 207 may be substantially lower than a dielectric constant of a layer made of, for example, only silicon oxide. Accordingly, as described below, the two first lower gate spacers 207 may greatly reduce parasitic capacitance between the first lower gate electrode 205 and the two first source/drain regions 225. That is, the two first lower gate spacers 207 can greatly mitigate an interference effect between the electronic signal induced in the first transistor 201 or the electronic signal applied to the first transistor 201.

The energy removable material may comprise a material, such as a thermally decomposable material, a photo-decomposable material, an electron beam decomposable material, or a combination thereof. For example, the energy-removable material has a base material and a decomposable porogen material that is substantially removed upon exposure to an energy source.

In some embodiments, the energy-removable material may include a relatively high concentration of the candidate porogen material and a relatively low concentration of the base material, but is not limited thereto. For example, the energy-removable material may include about 75% or more decomposable pore former material and about 25% or less base material. In another example, the energy removable material may include about 95% or more decomposable pore former material and about 5% or less base material. In another example, the energy-removable material may include 100% decomposable pore former material without the use of a base material. In another example, the energy-removable material may include about 45% or more of the decomposable pore forming material and about 55% or less of the base material.

Referring to fig. 1 and 2, a first lower gate dielectric 209 may be disposed on the first lower gate electrode 205 and the two first lower gate spacers 207. In some embodiments, for example, the first lower gate dielectric 209 may be made of silicon oxide or the like. In some embodiments, the first lower gate dielectric 209 may be made of an isolation material having a dielectric constant of about 4.0 or greater.

Referring to fig. 1 and 2, a first channel layer 211 may be disposed on the first lower gate dielectric 209. The first channel layer 211 may be made of a group IV, group II-IV, or group III-V semiconductor material. For example, the first channel layer 211 may include the following materials: silicon, germanium, silicon germanium, indium gallium arsenide (indium gallium arsenide), indium arsenide (indium arsenide), antimony gallium antimonide (gallium antimonide), antimony indium antimonide (indium antimonide), or combinations thereof.

Referring to fig. 1 and 2, the first upper gate structure 213 may be disposed on the first channel layer 211. The first upper gate structure 213 may comprise a first upper gate dielectric 215, a first lower work function layer 217, a first upper work function layer 219, a first fill layer 221, and two first upper gate spacers 223.

Referring to fig. 1 and 2, in the illustrated embodiment, a first upper gate dielectric 215 may be disposed on the first channel layer 211. The first upper gate dielectric 215 may have a first lower sublayer 215-1, a first intermediate sublayer 215-3, and a first upper sublayer 215-5. The first lower sublayer 215-1 may be disposed on the first channel layer 211 and may have a U-shaped cross-sectional profile. Due to the U-shaped cross-sectional profile, corner effects (corner effects) can be avoided. The first lower sublayer 215-1 may have a thickness between 0.1nm and 3.0 nm. Preferably, the thickness ˇ of the first lower sublayer 215-1 is between 0.5nm and 2.5 nm. In some embodiments, for example, the first lower sublayer 215-1 may be made of the following materials: silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, or the like. In some embodiments, the first lower sublayer 215-1 may be made of an isolation material having a dielectric constant of about 4.0 or greater.

The isolation material having a dielectric constant of about 4.0 or greater may be the following: hafnium oxide (hafnium oxide), hafnium zirconium oxide (hafnium zirconium oxide), hafnium lanthanum oxide (hafnium lanthanum oxide), hafnium silicon oxide (hafnium silicon oxide), hafnium tantalum oxide (tantalum oxide), hafnium titanium oxide (hafnium titanium oxide), zirconium oxide (zirconium oxide), aluminum oxide, silicon aluminum oxide (aluminum silicon oxide), titanium oxide, tantalum pentoxide (tantalum pentoxide), lanthanum oxide (lanthanum oxide), lanthanum silicon oxide (lanthanum silicon oxide), strontium titanate (strontium titanate), lanthanum aluminate (lanthanum aluminate), yttrium oxide (yttrium oxide), gallium oxide (gallium iii) oxide, gallium oxide (gallium titanate), zirconium titanate (zirconium titanate), barium titanate (barium zirconate), or combinations thereof.

Referring to fig. 1 and 2, a first intermediate sublayer 215-3 may be disposed on the first lower sublayer 215-1. The first intermediate sublayer 215-3 may have a U-shaped cross-sectional profile. The first intermediate sublayer 215-3 may have a thickness of between about 0.1nm and about 2.0 nm. Preferably, the thickness of the first intermediate sublayer 215-3 may be between about 0.5nm and 1.5 nm. In some embodiments, for example, the first intermediate sublayer 215-3 may be made of an isolation material having a dielectric constant of about 4.0 or greater. In some embodiments, for example, the first intermediate sublayer 215-3 may be the following materials: silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, or the like.

Referring to fig. 1 and 2, the first upper sublayer 215-5 may be disposed on the first intermediate sublayer 215-3. The first upper sublayer 215-5 may have a U-shaped cross-sectional profile. The first upper sublayer 215-5 may have a thickness of between about 0.5m to 5.0 nm. Preferably, the thickness of the first upper sub-layer 215-5 may be between about 0.5nm and 2.5 nm. In some embodiments, for example, the first upper sublayer 215-5 may be made of an isolation material having a dielectric constant of about 4.0 or greater. In some embodiments, for example, the first upper sublayer 215-5 may be made of the following materials: silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, or the like.

Referring to fig. 1 and 2, a first lower work function layer 127 may be disposed on the first upper gate dielectric 215. In particular, the first lower work function layer 217 may be disposed on the first upper sublayer 215-5. The first lower work function layer 217 may have a U-shaped cross-sectional profile. The first lower work function layer 217 may have a thickness between aboutTo aboutIn the meantime. Preferably, the thickness of the first lower work function layer 217 may be between aboutToIn the meantime. For example, the first lower work function layer 217 may be made of: aluminum, silver, titanium nitride, titanium aluminum (titanium aluminum), titanium aluminum carbide (titanium carbide aluminum), titanium aluminum nitride (titanium nitride aluminum), titanium silicon aluminum (titanium silicon aluminum), tantalum nitride (tantalum nitride), tantalum carbide (tantalum carbide), tantalum silicon nitride (tantalum silicon nitride), manganese (manganese), zirconium (zirconium), or tungsten nitride.

Referring to fig. 1 and 2, a first upper work function layer 219 may be disposed on the first lower work function layer 217. The first upper work function layer 219 may have a U-shaped cross-sectional profile. The first upper work function layer 219 may have a thickness of between aboutToIn the meantime. For example, the first upper work function layer 219 may be made of: titanium nitride, tantalum carbide, tungsten nitride, or ruthenium (ruthenium).

Referring to fig. 1 and 2, the first filling layer 211 may be disposed on the first upper work function layer 219. For example, the first filling-up layer 221 may be made of tungsten or aluminum.

Referring to fig. 1 and 2, two first upper gate spacers 223 may be respectively disposed on two sides of the first upper gate dielectric 215. In particular, two first upper gate spacers 223 may be respectively disposed on both sides of the first lower sublayer 215-1. For example, the two first upper gate spacers 223 may be made of: silicon oxide, silicon nitride, or the like.

Referring to fig. 1 and 2, two first source/drain regions 225 may be disposed on both sides of the first lower gate structure 203 and on both sides of the first channel layer 211. Upper portions of the two first source/drain regions 225 may protrude in the Z-direction away from the substrate 101. Upper portions of two first source/drain regions 225 may be disposed adjacent to two first upper gate spacers 223. The lower sides of the two first source/drain regions 225 are located at a vertical level (vertical level) lower than the lower surfaces of the two first lower gate spacers 207. In some embodiments, the lower portions of the two first source/drain regions 225 may be located at a vertical level between the vertical level of the lower surfaces of the two first lower gate spacers 207 and a vertical level of the upper surfaces of the two first lower gate spacers 207. The plurality of insulating structures 107 disposed in the first region 103 may be respectively disposed correspondingly adjacent to two first source/drain regions 225.

For example, the two first source/drain regions 225 may be made of: silicon, germanium, silicon germanium, indium gallium arsenide (indium gallium arsenide), or silicon carbide. The two first source/drain regions 225 may be doped with a dopant, such as phosphorus, arsenic, antimony, or boron. The two first source/drain regions 225 may have a first electrical configuration. In some embodiments, the two first source/drain regions 225 may have a uniform doping concentration. In some embodiments, different planes of the two first source/drain regions 225 may have different doping concentrations. For example, in some embodiments, the upper portions of the two first source/drain regions 225 may have a doping concentration that is greater than the doping concentration of the other portions of the two first source/drain regions 225. In some other embodiments, a middle portion of the two first source/drain regions 225 may have a doping concentration that is greater than the doping concentration of the other portions of the two first source/drain regions 225. In some embodiments, the two first source/drain regions 225 may be made of a material different from the material of the first channel layer 211. For example, the first channel layer 211 may be made of germanium, and the two first source/drain regions 225 may be made of doped silicon germanium (sige). Accordingly, the first channel layer 211 may include a strained channel. As a result, carrier mobility of the first transistor 201 can be enhanced.

Referring to fig. 1 and 2, the first isolation layer 109 may be disposed on the plurality of insulation structures 107, the first channel layer 211, and the two first source/drain regions 225. The first isolation layer 109 may be disposed around the first upper gate structure 213. For example, the first isolation layer 109 may be made of: silicon nitride, silicon oxide, silicon oxynitride, flowable oxide (flowable oxide), silazane (TONEN SILAZEN), undoped silica glass (undoped silica glass), boro-silica glass (boro-silica glass), phosphosilicate glass (phosphosilicate glass), borophosphosilicate glass (borophosphosilicate glass), plasma-assisted tetra-ethyl silicon (plasma-enhanced tetra-ethyl orthosilicate), fluorosilicate glass (fluoride silicate glass), carbon-doped silicon oxide (carbon-doped silica oxide), amorphous carbon fluoride (amorphous fluorinated carbon), organosilicate glass (organic silicate glass), or combinations thereof.

Referring to fig. 1 and 2, two first contacts 227 may be disposed through the first isolation layer 109 and correspondingly disposed on the two first source/drain regions 225, respectively. The two first contact points 227 may have a tapered (tapered) cross-sectional profile. In some embodiments, a width of the two first contact points 227 may gradually widen from top to bottom in the Z-direction. In some embodiments, the sides of the two first contact points 227 may have a uniform slope. For example, the two first contacts 227 may be made of a conductive material, such as a doped polysilicon, metal or metal nitride. The metal may be aluminum, copper or cobalt (cobalt).

Referring to fig. 1 and 2, two first conductive layers 229 may be disposed between two first contact points 227 and two first source/drain regions 225, respectively. Each first conductive layer 229 may have a thickness between about 2nm and about 20 nm. For example, the two first conductive layers 229 may be made of: titanium silicide (titanium silicide), nickel silicide (nickel silicide), nickel platinum silicide (nickel platinum silicide), tantalum silicide (tantalum silicide), or cobalt silicide.

Referring to fig. 1 and 2, the second transistor 301 may have a structure similar to that of the first transistor 201, but not limited thereto. The second transistor 301 may include a second lower gate structure 303, a second channel layer 311, a second upper gate structure 313, two second source/drain regions 325, two second contact points 327, and two second conductive layers 329.

Referring to fig. 1 and 2, the second bottom gate structure 303 may be disposed on the second region 105 and between an adjacent pair of the insulating structures 107 disposed in the second region 105. The second lower gate structure 303 may be located at the same vertical level as the first lower gate structure 203. The second bottom gate structure 303 may include a second bottom gate electrode 305, two second bottom gate spacers 307, and a second bottom gate dielectric 309.

Referring to fig. 1 and 2, a second bottom gate electrode 305 may be disposed on the second region 105. The second bottom gate electrode 305 may be made of the same material as the first bottom gate electrode 205, but not limited thereto. Two second lower gate spacers 307 may be disposed on both sides of the second lower gate electrode 305. The two second bottom gate spacers 307 may be made of the same material as the two first bottom gate spacers 207, but not limited thereto. A second lower gate dielectric 309 may be disposed on the second lower gate electrode 305 and the two second lower gate spacers 307. The second lower gate dielectric 309 may be made of the same material as the first lower gate dielectric 209, but is not limited thereto.

Referring to fig. 1 and 2, a second channel layer 311 may be disposed on the second lower gate dielectric 309. The second channel layer 311 may be located at the same vertical position plane as the first channel layer 211. The second channel layer 311 may be made of the same material as the second bottom gate dielectric 309, but not limited thereto.

Referring to fig. 1 and 2, the second upper gate structure 313 may be disposed on the second channel layer 311. The second upper gate structure 313 may include a second upper gate dielectric 315, a second lower work function layer 317, a second fill layer 321, and two second upper gate spacers 323.

Referring to fig. 1 and 2, a second upper gate dielectric 315 may be disposed on the second channel layer 311. The second upper gate dielectric 315 may have a thickness that is less than the thickness of the first upper gate dielectric 215. The second upper gate dielectric 315 may have a second lower sublayer 315-1 and a second intermediate sublayer 315-3.

Referring to fig. 1 and 2, the second lower sub-layer 315-1 may be disposed on the second channel layer 311 and may have a U-shaped cross-sectional profile. Due to the U-shaped cross-sectional profile, corner effects (corner effects) can be avoided. The second lower sub-layer 315-1 may have a thickness the same as the first lower sub-layer 215-1, but is not limited thereto. The second lower sub-layer 315-1 may be made of the same material as the first lower sub-layer 215-1, but not limited thereto. The second intermediate sublayer 315-3 may be disposed on the second lower sublayer 315-1. The second intermediate sublayer 315-3 may have a U-shaped cross-sectional profile. The second intermediate sublayer 315-3 may have a thickness the same as the first intermediate sublayer 215-3, but is not limited thereto. The second intermediate sublayer 315-3 can be made of the same material as the first intermediate sublayer 215-1, but is not limited thereto.

Referring to fig. 1 and 2, a second lower work function layer 317 may be disposed on the second upper gate dielectric 315. In particular, the second lower work function layer 317 may be disposed on the second intermediate sublayer 315-3. The second lower work function layer 317 may have a U-shaped cross-sectional profile. The second lower work function layer 317 may have a thickness the same as that of the first lower work function layer 217, but is not limited thereto. The second lower work function layer 317 may be made of the same material as the first lower work function layer 217. The second filling-up layer 321 may be disposed on the second lower work function layer 317. The second filling-up layer 321 may be made of the same material as the first filling-up layer 221. Two second upper gate spacers 323 may be respectively disposed on both sides of the second upper gate spacer 315. In particular, two second upper gate spacers 323 may be respectively disposed on both sides of the second lower sub-layer 315-1. The two second upper gate spacers 323 may be made of the same material as the two first upper gate spacers 223, but not limited thereto.

Referring to fig. 1 and 2, two second source/drain regions 325 may be disposed on both sides of the second lower gate structure 303 and on both sides of the second channel layer 311. Upper portions of the two second source/drain regions 325 may protrude in a direction away from the substrate 101 in the Z direction. Upper portions of two second source/drain regions 325 may be disposed adjacent to two second upper gate spacers 323. The lower portions of the two second source/drain regions 325 may be located at a vertical level lower than the lower surfaces of the two second bottom gate spacers 307. In some embodiments, the lower surfaces of the two second source/drain regions 325 may be located at a vertical level between the vertical level of the lower surfaces of the two second lower gate spacers 307 and a vertical level of the upper surfaces of the two second lower gate spacers 307. The plurality of insulating structures 107 disposed in the second region 105 may be respectively disposed adjacent to two second source/drain regions 325. The two second source/drain regions 325 may be made of the same material as the two first source/drain regions 225, but not limited thereto.

Referring to fig. 1 and 2, two second contacts 327 may be disposed through the first isolation layer 109 and correspondingly disposed on the two second source/drain regions 325, respectively. The two second contact points 327 may be made of the same material as the two first contact points 227, but not limited thereto. Two second conductive layers 329 may be respectively disposed between the two second contacts 327 and the two second source/drain regions 325. The two second conductive layers 329 may have the same thickness as the two first conductive layers 229, but not limited thereto. The two second conductive layers 329 may be made of the same material as the two first conductive layers 229, but not limited thereto.

When the semiconductor device 10A is operated, the first lower gate structure 203, the first upper gate structure 213, the second lower gate structure 303, and the second upper gate structure 313 may be electrically coupled to different voltage supplies (voltages). The conductive state of the first channel layer 211 can be controlled by the first lower gate structure 203 and the first upper gate structure 213 at the same time. As a result, leakage current in the first channel layer 211 can be reduced. Accordingly, the conductive state of the second channel layer 311 can be controlled by the second lower gate structure 303 and the second upper gate structure 313 simultaneously. In some embodiments, the first lower gate structure 203 and the first upper gate structure 213 may be electrically coupled to the same voltage supply.

Fig. 3 to 5 are schematic cross-sectional views of semiconductor devices 10B, 10C and 10D according to an embodiment of the present disclosure. Fig. 6 is an enlarged cross-sectional view of the semiconductor device 10D according to fig. 5. Fig. 7 is an enlarged cross-sectional view of a semiconductor device 10E according to an embodiment of the present disclosure. Fig. 8 is a schematic cross-sectional view of a semiconductor device 10F according to an embodiment of the present disclosure.

Referring to fig. 3, in the semiconductor device 10B, a first lower isolation layer 233 may be disposed under the first lower gate structure 203 and between the two first source/drain regions 225. The first lower isolation layer 223 may be made of the same material as the first lower gate dielectric 209, but is not limited thereto. A first channel separation layer (first bottom insulating layer)231 may be disposed under the first lower isolation layer 233 and between the two first source/drain regions 225. A lower surface of the first channel separation layer 231 may be located at a vertical level higher than that of the lower surfaces of the two first source/drain regions 225. The first channel separation layer 231 may be doped with a dopant, such as phosphorus, arsenic, antimony, or boron. The first channel separation layer 231 may have a second electrical configuration, opposite to the electrical configurations of the two first source/drain regions 225.

Referring to fig. 3, a second lower isolation layer 333 may be disposed under the second lower gate structure 303 and between the two second source/drain regions 325. The second lower isolation layer 333 may be made of the same material as the second lower gate dielectric 309, but not limited thereto. A second channel separation layer 331 may be disposed under the second lower isolation layer 333 and between the two second source/drain regions 325. A lower surface of the second channel separation layer 331 may be located at a vertical level higher than that of the lower surfaces of the two second source/drain regions 325. The second channel separation layer 331 may be doped with a dopant such as phosphorus, arsenic, antimony, or boron. The second channel separation layer 331 may have an electrical configuration opposite to the electrical configurations of the two second source/drain regions 325. The first channel separation layer 231 and the second channel separation layer 331 may prevent channels from being formed on the upper surface of the substrate 101. Accordingly, a short channel effect can be avoided.

Referring to fig. 4, in the semiconductor device 10C, a first buffer layer 235 may be disposed under the first lower isolation layer 233. The lower surface of the first buffer layer 235 may be located at a vertical level lower than the vertical level of the lower surfaces of the two first source/drain regions 225. The first buffer layer 235 may be made of a material having a lattice constant different from that of the substrate 101. A second buffer layer 335 may be disposed under the second lower isolation layer 333. The lower surface of the second buffer layer 335 may be positioned at a vertical level lower than the vertical level of the lower surfaces of the two second source/drain regions 325. The second buffer layer 335 may be made of a material having a lattice constant different from that of the substrate 101. Due to the difference in lattice constant, the carrier mobility of the first transistor 201 or the carrier mobility of the second transistor 301 may be enhanced.

Referring to fig. 5 and 6, in the semiconductor device 10D, the thickness of the first upper gate dielectric 215 may be less than the thickness of the second upper gate dielectric 315. The first upper gate dielectric 216 may have a first lower sublayer 215-1 and a first intermediate sublayer 215-3. The first lower work function layer 217 may be disposed on the first intermediate sublayer 215-3.

Referring to fig. 5 and 6, the second upper gate dielectric 315 may include a second lower sublayer 315-1, a second intermediate sublayer 315-3, and a second upper sublayer 315-5. The second upper sublayer 315-5 may be disposed on the second intermediate sublayer 315-3. The second upper sublayer 315-5 can have a U-shaped cross-sectional profile. The second upper sublayer 315-5 can have a thickness of between about 0.5nm and about 5.0 nm. Preferably, the thickness of the second upper sub-layer 315-5 may be between about 0.5nm and 2.5 nm. It should be understood that the thickness of the second upper sub-layer 315-5 may be set within an arbitrary range depending on the circumstances. In some embodiments, for example, the second upper sub-layer 315-5 may be made of an isolation material having a dielectric constant of about 4.0 or greater. In some embodiments, for example, the second upper sublayer 315-5 can be made of the following materials: silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, or the like. The second lower work function layer 317 may be disposed on the second upper sublayer 315-5.

Referring to fig. 7, in the semiconductor device 10E, the first upper gate structure 213 may have a first interface layer (first interfacial layer)237, a first coupler layer (first bipolar layer)239, a first upper gate dielectric 215, a first functional layer (first functional layer)241, a first adjustment layer (first adjustment layer)243, a first passivation layer 245, a first lower work function layer 217, a first upper work function layer 219, a first encapsulation layer (first encapsulation layer)247. A first fill layer 221 and a first cap layer 249. A first interfacial layer 237 may be disposed between the first channel layer 211 and the first upper gate dielectric 215. The first interfacial layer 237 may aid in the formation of the first upper gate dielectric 215. The first interface layer 237 may have a thickness of between aboutTo aboutIn the meantime. The first interfacial layer 237 may be formed of a chemical oxide, such as silicon oxide, of the underlying first channel layer 211.

Referring to fig. 7, a first coupler layer 239 may be disposed between the first upper gate dielectric 215 and the first interface layer 237. The first coupler layer 239 may have a thickness less than 2 nm. The first coupler layer 239 may replace defects in the first upper gate dielectric 215 and may improve mobility and reliability of the first upper gate structure 213. First coupler layer 239 may be made of a material including one or more of: lutetium oxide (lutetium oxide), lutetium silicon oxide (lutetium silicon oxide), yttrium oxide (yttrium silicon oxide), lanthanum oxide (lanthanum silicon oxide), barium oxide (barium silicon oxide), strontium oxide (strontium silicon oxide), aluminum oxide, silicon aluminum oxide, titanium oxide, hafnium oxide (hafnium oxide), hafnium oxide (hafnium silicon oxide), zirconium oxide (zirconiumoxide), zirconium oxide (zirconiumsilicium oxide), tantalum oxide (talum silicon oxide), scandium oxide (scandinium oxide), magnesium oxide (magnesium oxide), and magnesium oxide (magnesium oxide).

Referring to fig. 7, a first functional layer 241 may be disposed on the first upper gate dielectric 215. The first functional layer 241 may have a thickness of between aboutTo aboutFor example, and may be made of titanium nitride or tantalum nitride. The first functional layer 241 may protect the first upper gate dielectric 215 from damage (dam) during a subsequent semiconductor process. The first adjustment layer 243 may be disposed on the first functional layer 214, and may comprise a material or an alloy comprising lanthanum nitride (lanthanide nitride). The first adjustment layer 243 may be used for fine tuning the threshold voltage of the first upper gate structure 213. The first protection layer 245 may be disposed on the first adjustment layer 243 and may protect the first adjustment layer 243 from damage (Damage) during a subsequent semiconductor process. For example, the first protective layer 245 may be made of titanium nitride.

Referring to fig. 7, a first encapsulation layer 247 may be disposed below the first filling layer 221. The first encapsulation layer 247 may have a thickness of between aboutTo aboutIn the meantime. For example, the first encapsulation layer 247 may be made of titanium nitride. The first encapsulation layer 247 may protect layers below the first encapsulation layer 247 from mechanical damage or diffusion of the first filling layer 221. The first cap layer 249 may be disposed on the first filling layer 221. For example, the first cap layer 249 may be made of: silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, or fluorine-doped silicate.

Referring to fig. 8, in the semiconductor device 10F, the first upper gate structure 213 may be disposed on the first channel layer 211 and may include a first lower sublayer 215-1, a first middle sublayer 215-3, a first lower work function layer 217, a first upper work function layer 219, a first adjustment layer 243, a first filling layer 221, a first cap layer 249, and two first upper gate spacers 223. The first lower sublayer 215-1 may be disposed on the first channel layer 211 and may have a U-shaped cross-sectional profile. The first intermediate sublayer 215-3 may be disposed on the first lower sublayer 215-1. The U-shaped cross-sectional profile of the first lower sublayer 215-1 may be referred to as a recess space. The first intermediate sublayer 215-3 may be disposed in a lower portion of the recessed space. The first lower work function layer 217 may be disposed on the first intermediate sublayer 215-3. A first upper work function layer 219 may be disposed on the first lower work function layer 217. The first adjustment layer 243 may be disposed on the first upper work function layer 219. The first filling-up layer 221 may be disposed on the first adjustment layer 243. The first cap layer 249 may be disposed on the first filling layer 221.

Referring to fig. 8, the second upper gate structure 313 may be disposed on the second channel layer 311, and may have a second lower sub-layer 315-1, a second middle sub-layer 315-3, a second lower work function layer 317, a second adjustment layer 343, a second filling layer 321, a second capping layer 319, and two second upper gate spacers 323. The second lower sublayer 315-1 may be disposed on the second channel layer 311 and may have a U-shaped cross-sectional profile. The second intermediate sublayer 315-3 may be disposed on the second lower sublayer 315-1. The U-shaped cross-sectional profile of the second lower sub-layer 315-1 may be referred to as a recess space. The second intermediate sublayer 315-3 may be disposed in a lower portion of the recessed space. The second lower work function layer 317 may be disposed on the second intermediate sublayer 315-3. The second adjustment layer 343 may be disposed on the second lower work function layer 317. The second filling layer 321 may be disposed on the second adjustment layer 343. A second capping layer 349 may be disposed on the second fill layer 321.

It should be understood that the terms "forming", "formed" and "forming" may refer to and include any method of creating, building, patterning, implanting or depositing a feature (element), a dopant (dopant) or a material. Examples of the forming method may include atomic layer deposition (atomic layer deposition), chemical vapor deposition (chemical vapor deposition), physical vapor deposition (physical vapor deposition), sputtering (sputtering), spin coating (spin coating), diffusion (diffusing), deposition (depositing), growth (growing), implantation (implantation), photolithography (photolithography), dry etching, and wet etching, but are not limited thereto.

Fig. 9 is a flow chart illustrating a method 20 for fabricating a semiconductor device 10A according to an embodiment of the present disclosure. Fig. 10-26 are schematic cross-sectional views illustrating a flow of a method of fabricating the semiconductor device 10A according to an embodiment of the present disclosure.

Referring to fig. 9 and 10, in step S11, a substrate 101 may be provided, and a layer of the first semiconductor material 401, a layer of the first isolation material 403, and a layer of the second semiconductor material 405 may be sequentially formed on the substrate 101. The substrate 101 may include a first region 103 and a second region 105. The first region 103 and the second region 105 may be disposed adjacent to each other. In some embodiments, the first region 103 and the second region 105 may be disposed apart from each other.

In some embodiments, the first semiconductor material 401 may be made of a conductive material, such as polysilicon, polycrystalline silicon germanium, or a combination thereof, for example. A dopant, such as phosphorus, arsenic, antimony, or boron, may be doped into the layer of first semiconductor material 401 during the formation of the layer of first semiconductor material 401. In some embodiments, for example, the first semiconductor material 401 may be the following materials: tungsten, aluminum, titanium, copper, tantalum, molybdenum, tantalum nitride, nickel silicide, cobalt silicide, titanium nitride, tungsten nitride, titanium aluminide, titanium aluminum nitride, tantalum carbo nitride, tantalum carbide, tantalum silicon nitride, or combinations thereof.

In some embodiments, for example, the first isolation material 403 may be silicon oxide or the like. In some embodiments, for example, the first isolation material 403 may be an isolation material having a dielectric constant of about 4.0 or greater. In some embodiments, the second semiconductor material 405 may include a group IV, II-IV, or III-V compound, such as silicon, germanium, silicon germanium, indium gallium arsenide, indium arsenide, antimony gallium, or antimony indium.

Referring to fig. 9 and 11, in step S13, a plurality of insulating structures 109 are formed to penetrate the layer of second semiconductor material 405, the layer of first isolation material 403, the layer of first semiconductor material 401, and the substrate 101. Referring to fig. 11, a series of deposition processes may be performed to deposit a pad oxide layer (not shown in fig. 11) and a nitride layer (not shown in fig. 11) on the layer of second semiconductor material 405. A photolithography process may be performed to define the locations of the plurality of isolation structures 107. After the photolithography process, an etching process, such as an anisotropic dry etching process, may be performed to form a plurality of trenches through the oxide pad, the nitride pad, and the substrate 101. An isolation material may be deposited in a plurality of the trenches and a planarization process, such as chemical mechanical polishing, may then be performed to remove excess fill material until the layer of second semiconductor material 405 is exposed and a plurality of insulating structures 107 are simultaneously formed. For example, the isolation material may be the following: silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide or fluorine-doped silicate.

Referring to fig. 9 and 12, in step S15, a first dummy structure 501 and a second dummy structure 601 may be formed on the layer of second semiconductor material 405. The first dummy structure 501 may be formed in the first region 103 and may have a first lower dummy layer 503, a first middle dummy layer 505, a first upper dummy layer 507 and two first upper gate spacers 223. The second dummy structure 601 may be formed in the second region 105 and may have a second lower dummy layer 603, a second middle dummy layer 605, a second upper dummy layer 607, and two second upper gate spacers 323.

Referring to fig. 12, an etch stop layer, a sacrificial layer, and a mask layer may be sequentially formed on the layer of second semiconductor material 405 and the plurality of insulating structures 107. For example, the etch stop layer may be made of: carbon-doped oxides (carbon-doped oxides), carbon-incorporated silicon oxides (carbon-doped silicon oxides), ornithine decarboxylases (ornithine decarboxylases), or nitrogen-doped silicon carbide (nitrogen-doped silicon carbide). For example, the sacrificial layer may be made of polysilicon. For example, the mask layer may be made of silicon oxide, silicon nitride, or the like. A photolithography process may be performed to define the locations of the first dummy structure 501 and the second dummy structure 601. After the photolithography process, an etching process, such as an anisotropic dry etching process, may be performed to remove portions of the etch stop layer, the sacrificial layer, and the mask layer. Meanwhile, the first lower dummy layer 503, the first intermediate dummy layer 505, the first upper dummy layer 507, the second lower dummy layer 603, the second intermediate dummy layer 605, and the second upper dummy layer 607 may be formed after the etching process.

Referring to fig. 12, a spacer isolation layer may be deposited on the intermediate semiconductor element. An etching process, such as an anisotropic dry etching process, may be performed to remove portions of the spacer isolation layer and simultaneously form two first upper gate spacers 223 and two second upper gate spacers 323. For example, the spacer spacers may be silicon oxide, silicon nitride, or the like.

Referring to fig. 9 and 13, in step S17, a plurality of recesses 701 may be formed through the layer of second semiconductor material 405, the layer of first isolation material 403, the layer of first semiconductor material 401, and the substrate 101. An etch process, such as an anisotropic etch process, is performed using the first dummy structure 501 and the second dummy structure 601 as masks to remove the layer of second semiconductor material 405, the layer of first isolation material 403, the layer of first semiconductor material 401 and portions of the substrate 101 while forming a plurality of recesses 701. After the etching process, the layer of the second semiconductor material 405 may be transformed into the first channel layer 211 and the second channel layer 311. This layer of first isolation material 403 may be converted into a first lower gate dielectric 209 and a second lower gate dielectric 309. The etching process may use multiple steps and use a variety of different etchants (etchants).

Referring to fig. 9 and 14, in step S19, a lateral etching process may be performed to remove portions of the layer of the first semiconductor material 401 and form a plurality of lateral recesses 703. The lateral etching process may have an etch selectivity with respect to the first semiconductor material 401. The selectivity of an etch process is typically expressed as a ratio of etch rates. For example, if one material is etched 25 times faster than the other, the etch process may be described as having 25: 1, or 25 for simplification. In this regard, a higher ratio or value indicates a more selective etch process. In the lateral etching process, an etching rate for the first semiconductor material 401 may be greater than an etching rate of the substrate 101, an etching rate of the first isolation material 403, an etching rate of the second semiconductor material 405, and an etching rate of the plurality of insulating structures 107. The selectivity of the lateral etch process may be greater than or equal to about 10, greater than or equal to about 12, greater than or equal to about 15, greater than or equal to about 20, or greater than or equal to about 25.

Referring to fig. 9 and 15, in step S21, two first bottom gate spacers 207 and two second bottom gate spacers 307 may be formed in the plurality of lateral recesses 703. An isolation material or an energy material may be deposited to fill the lateral recesses 703 and portions of the recesses 701. The isolation material may be silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, or the like. An etching process may be performed to remove the filling material in the recesses 701 and simultaneously form two first bottom gate spacers 207 and two second bottom gate spacers 307 in the lateral recesses 703.

Referring to fig. 9 and 16, in step S23, two first source/drain regions 225 and two second source/drain regions 325 may be formed in the plurality of recesses 701. Two first source/drain regions 225 and two second source/drain regions 325 may be simultaneously formed in the plurality of recesses 701 using an epitaxial growth (epi) process. In some embodiments, the two first source/drain regions 225 and the two second source/drain regions 325 may be formed separately using a suitable mask.

Referring to fig. 9 and 17, in step S25, a first isolation layer 109 may be formed over the substrate 101. A first isolation layer 109 may be formed over the intermediate semiconductor element. A planarization process, such as chemical mechanical polishing, may be performed until the top surface of the first intermediate dummy layer 505 and the top surface of the second intermediate dummy layer 6054 are exposed to provide a substantially planar surface for subsequent processing steps.

Referring to fig. 9 and 18, in step S27, a first trench 705 may be formed in the first dummy structure 501, and a second trench 707 may be formed in the second dummy structure 601. An etching process, such as an anisotropic dry etching process, may be performed to remove the first intermediate dummy layer 505, the first lower dummy layer 503, the second intermediate dummy layer 605 and the second lower dummy layer 603, and simultaneously form the first trench 705 and the second trench 707 in place. A portion of the upper surface of the first channel layer 211 may be exposed through the first trench 705. A portion of the upper surface of the second channel layer 311 may be exposed through the second trench 707.

Referring to fig. 9 and 19 to 24, in step S29, a first upper gate structure 213 and a second upper gate structure 313 may be formed on the substrate 101. Referring to fig. 19, a layer of third isolation material 407, a layer of fourth isolation material 409, and a layer of fifth isolation material 411 may be formed on the first isolation layer 109 and in the first trench 705 and the second trench 707. For example, the third isolation material 407 may be the following material: silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, or the like. For example, the fourth isolation material 409 may be an isolation material having a dielectric constant of about 4.0 or greater. For example, the fifth isolation material 411 may be the following materials: silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, or the like.

Referring to fig. 20, a first mask layer 801 may be formed to mask the first region 103. The layer of fifth isolation material 411 formed in the second region 105 may be removed by an etching process. After the etching process, the first mask layer 801 may be removed. Referring to fig. 21, a layer of a lower work function material 413 and a layer of an upper work function material 415 may be formed sequentially on the layer of fifth isolation material 411 and on the layer of fourth isolation material 409. For example, the lower work function material 413 may be made of: aluminum, silver, titanium nitride, titanium aluminum carbide, titanium aluminum nitride, titanium silicon aluminum, tantalum nitride, tantalum carbide, tantalum silicon nitride, manganese, zirconium, or tungsten nitride. For example, the upper work function material 415 may be made of: titanium nitride, tantalum carbide, tungsten nitride, or ruthenium.

Referring to fig. 22, a second mask layer 803 may be formed to mask the second region 103. The layer of upper work function material 415 formed within the second region 105 may be removed by an etching process. After the etching process, the second mask layer 803 may be removed. Referring to fig. 23, a layer of fill material 417 may be formed over the layer of lower work function material 413 and the layer of upper work function material 415 and deposited to completely fill the first trench 705 and the second trench 707. Referring to fig. 24, a planarization process, such as a chemical mechanical polishing, may be performed until the upper surface of the first isolation layer 109 is exposed to remove excess material, thereby providing a substantially planar surface for subsequent processing steps while forming the first and second upper gate structures 213 and 313.

Referring to fig. 9, 25 and 26, in step S31, two first contacts 227 may be formed on the two first source/drain regions 225, and two second contacts 327 may be formed on the two second source/drain regions 325. Referring to fig. 25, a second isolation layer 805 may be formed on the first isolation layer 109. The second isolation layer 805 may be made of the same material as the first isolation layer 109, but not limited thereto. A photolithography process may be performed to define the locations of the two first contacts 227 and the two second contacts 327. After the photolithography process, an etching process, such as an anisotropic dry etching process, may be performed to remove portions of the second isolation layer 805 and the first isolation layer 109 and simultaneously form a plurality of contact openings 709. Portions of the upper surfaces of the two first source/drain regions 225 and the two second source/drain regions 325 may be exposed through a plurality of contact openings 709.

Referring to fig. 25, a layer of metal may be sequentially deposited into a plurality of contact openings 709. For example, the metal may be the following materials: titanium, nickel, platinum, tantalum or cobalt. A heat treatment may be performed. During the heat treatment, metal atoms of the layer of metal may chemically react with silicon atoms of the two first source/drain regions 225 and the two second source/drain regions 325 to form two first conductive layers 229 and two second conductive layers 329, respectively. The heat treatment may be a dynamic surface annealing process. After the heat treatment, a cleaning process may be performed to remove unreacted metal. The cleaning process may use an etchant such as hydrogen peroxide (HCO) and a standard cleaner-1 (SC-1) solution.

Referring to fig. 26, a conductive material, such as polysilicon, metal or metal nitride, may be deposited into the contact openings 709 by a deposition process. After the deposition process, a planarization process, such as chemical mechanical polishing, may be performed until the upper surface of the first isolation layer 109 is exposed to remove excess material, thereby providing a substantially planar surface for the next processing steps while forming two first contact points 227 and two second contact points 327. In some embodiments, a planarization process may be performed until the second isolation layer 805 is exposed. That is, the second isolation layer 805 may remain in place and may serve as a cap layer for the first upper gate structure 213 and the second upper gate structure 313 to protect the first upper gate structure 213 and the second upper gate structure 313 from damage during subsequent semiconductor processing.

An embodiment of the present disclosure provides a semiconductor device having a substrate including a first region; and a first transistor located in the first region. The first transistor comprises a first lower gate structure, a first channel layer, a first upper gate structure and two first source/drain regions, wherein the first lower gate structure is positioned on the substrate, the first channel layer is positioned on the first lower gate structure, the first upper gate structure is positioned on the first channel layer, and the two first source/drain regions are positioned on two sides of the first channel layer.

Another embodiment of the present disclosure provides a method for manufacturing a semiconductor device. The preparation method comprises the following steps: providing a substrate; forming a first lower gate structure on the substrate; forming a first channel layer on the first lower gate structure; forming a first upper gate structure on the first channel layer; and forming two first source/drain regions on both sides of the first channel layer.

Due to the design of the semiconductor device of the present disclosure, the conductive state of the first channel layer can be controlled by the first lower gate structure and the first upper gate structure at the same time. As a result, leakage current in the first channel layer may be reduced. In addition, the first upper gate dielectric and the second upper gate dielectric with different thicknesses can have different threshold voltages and can provide different functions; therefore, the applicability (applicability) of the semiconductor element can be increased.

Although the present disclosure and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the disclosure as defined by the appended claims. For example, many of the processes described above may be performed in different ways and replaced with other processes or combinations thereof.

Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present disclosure, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present disclosure. Accordingly, such processes, machines, manufacture, compositions of matter, means, methods, or steps, are included in the claims of this specification.

48页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:屏蔽栅沟槽功率器件的制造方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!