Thermosetting silicon-containing compound, composition for forming silicon-containing film, and method for forming pattern

文档序号:1333367 发布日期:2020-07-17 浏览:43次 中文

阅读说明:本技术 热硬化性含硅化合物、含硅膜形成用组成物以及图案形成方法 (Thermosetting silicon-containing compound, composition for forming silicon-containing film, and method for forming pattern ) 是由 矢野俊治 三井亮 前田和规 荻原勤 橘诚一郎 于 2020-01-08 设计创作,主要内容包括:本发明涉及热硬化性含硅化合物、含硅膜形成用组成物以及图案形成方法。本发明的课题是为了提供一种热硬化性含硅化合物,其可使用于能达成互为相反的性能的含硅抗蚀剂下层膜材料,该互为相反的性能为虽具有碱显影液耐性,但却会改善溶解于不含过氧化氢的碱性剥离液的溶解性。该课题的解决方法为一种热硬化性含硅化合物,其特征为:含有下述通式(Sx-1)、(Sx-2)、及(Sx-3)表示的结构单元中的任一种以上。<Image he="196" wi="700" file="DDA0002359370770000011.GIF" imgContent="drawing" imgFormat="GIF" orientation="portrait" inline="no"></Image>,式中,R<Sup>1</Sup>为含有可具有取代基的苯基、以及碳数3~10的非芳香环的环这两者的1价有机基团。R<Sup>2</Sup>、R<Sup>3</Sup>为该R<Sup>1</Sup>、或碳数1~30的1价有机基团。(The present invention relates to a thermosetting silicon-containing compound, a composition for forming a silicon-containing film and a drawingA method of forming a pattern. The present invention addresses the problem of providing a thermosetting silicon-containing compound which can be used as a silicon-containing resist underlayer film material that achieves the opposite properties of improved solubility in an alkaline stripping solution containing no hydrogen peroxide, despite having alkali developer resistance. The solution of the problem is a thermosetting silicon-containing compound, which is characterized in that: contains at least one of the structural units represented by the following general formulae (Sx-1), (Sx-2) and (Sx-3). In the formula, R 1 Is a 1-valent organic group containing both a phenyl group which may have a substituent and a non-aromatic ring having 3 to 10 carbon atoms. R 2 、R 3 Is the R 1 Or a C1-valent organic group having 1 to 30 carbon atoms.)

1. A thermosetting silicon-containing compound characterized by:

contains at least one of structural units represented by the following general formulae (Sx-1), (Sx-2) and (Sx-3);

in the formula, R1Two rings containing an optionally substituted phenyl group and a non-aromatic ring having 3 to 10 carbon atomsA 1-valent organic group of the same; r2、R3Is the R1Or a C1-valent organic group having 1 to 30 carbon atoms.

2. The thermosetting silicon-containing compound according to claim 1, wherein R is1Is a 1-valent organic group represented by the following general formula (Sx-R1);

--R1=-R11-R12-R13-R14-R15(Sx-R1)

in the formula, R11Is a single bond or a structure represented by the following formula (1); r12Is any of structures having a ring represented by the following formula (2); r13Is a single bond or a structure represented by the following formula (3); r14Is a single bond or a structure represented by the following formula (4); r15Is a phenyl group which may have a substituent; however, R13And R14When simultaneously a single bond, R15And R12Direct bonding; r14When is a single bond, and R15Bonded R13Is limited to carbon or silicon atoms, but carbon of the carbonyl group is excluded;

(Si)-CH2-(R12)(Si)-CH2O-(R12)(Si)-CH2CH2-(R12) (1)

wherein (Si) and (R)12) Is not described as constituting R11The structure of (1);

in the formula (R)11) And (R)13) Is not described as constituting R12The structure of (1);

in the formula (R)12) And (R)14) Is not described as constituting R13The structure of (1);

in the formula (R)13) And (R)15) Is not described as constituting R14The structure of (1).

3. A composition for forming a silicon-containing film, characterized by comprising:

the thermosetting silicon-containing compound according to claim 1 or 2, and

a crosslinking catalyst.

4. The silicon-containing film-forming composition according to claim 3, wherein the crosslinking catalyst is a sulfonium salt, an iodonium salt, a phosphonium salt, an ammonium salt, an alkali metal salt, or a polysiloxane having any one of a sulfonium salt, an iodonium salt, a phosphonium salt, and an ammonium salt as part of a structure.

5. The composition for forming a silicon-containing film according to claim 3 or 4, further comprising 1 or more of the compounds represented by the following general formula (P-0);

in the formula, R300Is a 2-valent organic radical substituted by 1 or more than 2 fluorine atoms, R301And R302Independently represents a C1-20 linear, branched or cyclic 1-valent hydrocarbon group which may be substituted with a heteroatom or may be interrupted by a heteroatom; r303Represents a C1-20 linear, branched or cyclic 2-valent hydrocarbon group which may be substituted with a heteroatom or may be interrupted by a heteroatom; and R is301And R302Or R301And R303Or may be bonded to each other and form a ring together with the sulfur atom in the formula L304Represents a single bond or a C1-20 linear, branched or cyclic 2-valent hydrocarbon group which may be substituted with a heteroatom or may be interrupted by a heteroatom.

6. The composition for forming a silicon-containing film according to claim 5, wherein the compound represented by the general formula (P-0) is a compound represented by the following general formula (P-1);

in the formula, X305、X306Each independently represents any one of a hydrogen atom, a fluorine atom and a trifluoromethyl group, but not all of them are hydrogen atoms; n is307Represents an integer of 1 to 4; r301、R302、R303And L304As before.

7. A pattern forming method, characterized by comprising the steps of:

(1) forming an organic underlayer film on a workpiece, forming a silicon-containing intermediate film thereon from the silicon-containing film forming composition according to any one of claims 3 to 6, and forming an upper layer resist film thereon,

(2) exposing and developing the upper layer resist film to form an upper layer resist pattern,

(3) forming an organic lower layer film pattern by dry etching while using the upper layer resist pattern as a mask to transfer the upper layer resist pattern to a silicon-containing intermediate film, and using the silicon-containing intermediate film to which the upper layer resist pattern is transferred as a mask to leave a part of the silicon-containing intermediate film on the organic lower layer film and transfer the upper layer resist pattern to the organic lower layer film,

(4) removing the silicon-containing intermediate film remaining on the upper portion of the organic lower film pattern with a stripping solution,

(5) an inorganic silicon film made of any one of polysilicon, amorphous silicon, silicon oxide, silicon nitride oxide, silicon carbide, or a composite material thereof is formed by a CVD method or an A L D method so as to cover the organic lower layer film pattern,

(6) removing a portion of the inorganic silicon film by dry etching and exposing an upper portion of the organic lower layer film pattern, and

(7) the organic lower film pattern was removed and an inorganic silicon film pattern having a pattern pitch of 1/2 of the upper resist pattern was formed.

8. The pattern forming method according to claim 7, wherein in the step (4), the stripping liquid contains either or both of a fluorine ion and a nitrogen-containing cation.

9. The pattern forming method according to claim 7 or 8, wherein the object to be processed is a semiconductor device substrate, or a body formed by forming a metal film, an alloy film, a metal carbide film, a metal oxide film, a metal nitride film, a metal oxycarbide film, or a metal oxynitride film on the semiconductor device substrate.

10. The pattern forming method according to claim 7 or 8, wherein the metal constituting the object to be processed is silicon, gallium, titanium, tungsten, hafnium, zirconium, chromium, germanium, copper, silver, gold, indium, arsenic, palladium, tantalum, iridium, aluminum, iron, molybdenum, cobalt, or an alloy thereof.

Technical Field

The present invention relates to a thermosetting silicon-containing compound for forming a coating-type silicon-containing film used for photolithography in a manufacturing process of a semiconductor element or the like, a composition containing the thermosetting silicon-containing compound, and a pattern forming method using the composition.

Background

As exposure light used for resist pattern formation, light exposure using g-ray (436nm) or i-ray (365nm) from a mercury lamp as a light source was widely used in the 1980 s. In order to achieve further miniaturization, a method of shortening the exposure wavelength is effective, and in mass production processes after 64MB (with a processing size of 0.25 μm or less) DRAM (dynamic random access memory) in 1990, a short-wavelength KrF excimer laser (248nm) was used as an exposure light source instead of i-ray (365 nm).

However, in the production of DRAMs with integration levels of 256M and 1G or more, which require finer processing techniques (with a processing size of 0.2 μ M or less), a light source with a shorter wavelength is required, and lithography using ArF excimer laser (193nm) has been studied in earnest about 10 years ago. While ArF lithography was originally intended to start with the fabrication of 180nm node devices, KrF excimer lithography continues to be used for mass production of 130nm node devices, and ArF lithography is formally used from the 90nm node. Furthermore, and NA increased to 0.9The mirror combination can be used for mass production of 65nm node devices. The following 45nm node devices advanced the shortening of the exposure wavelength and lifted F at 157nm2Lithography is a candidate. However, due to the large amount of expensive CaF used in the projection lens2The single crystal causes an increase in the cost of the scanner, and the introduction of the hard dustproof thin film assembly causes various problems such as a change in the optical system and a decrease in the etching resistance of the resist film due to the extremely low durability of the soft dustproof thin film assembly, resulting in F2The development of lithography was discontinued and ArF immersion lithography was introduced.

In ArF immersion lithography, water having a refractive index of 1.44 is inserted between a projection lens and a wafer by partial fill (partial fill), thereby achieving high-speed scanning, and mass production of 45nm node devices can be performed using NA1.3 grade lenses.

In the 32nm node lithography technology, vacuum ultraviolet (EUV) lithography with a wavelength of 13.5nm is a candidate, and problems of EUV lithography include high output of laser, high sensitivity of a resist film, high resolution, low line edge roughness (L ER), defect-free MoSi stack mask, low aberration of a mirror, and the like, and problems to be overcome are accumulated, and high refractive index immersion lithography, which is another candidate for the 32nm node, has been developed because the transmittance of L UAG, which is a candidate for a high refractive index lens, is low and the refractive index of a liquid cannot reach 1.8 of a target value.

Accordingly, as one of the miniaturization techniques which have attracted attention in recent years, there is a double patterning process in which a pattern is formed by the 1 st exposure and development, and a pattern is formed in the middle of the 1 st pattern by the 2 nd exposure (non-patent document 1). Many processes have been proposed for double patterning. For example: (1) and forming lines and a space of 1 by using the 1 st exposure and development: 3, dry etching the lower hard mask, laying another hard mask on the resist pattern, forming a line pattern in the 1 st exposed space part by exposing and developing the resist film, and dry etching the hard mask to form a line and space pattern (line and space pattern) with a pitch half of the pitch of the original pattern. For example, further: (2) 1 st exposure and development is used to form a pitch and line 1: 3, the lower hard mask is processed by dry etching, a resist film is coated on the resist pattern, and the 2 nd pitch pattern (space pattern) is exposed to the portion where the hard mask remains and the hard mask is processed by dry etching. The hard mask was processed using 2 dry etches.

The former method, in which a hard mask needs to be coated 2 times, the latter method, in which a hard mask 1 layer is required, but a trench pattern that is harder to be resolved than a line pattern needs to be formed, and the latter method, in which a negative resist material is used when a line pattern is formed using a positive development pattern, can use the same high-contrast light as when a line pattern is formed using a positive development pattern, but the negative resist material has a lower dissolution contrast than a positive resist material.

In both the former and latter methods, since etching is required for 2 times of substrate processing, there are problems that the throughput is reduced, and pattern deformation and positional deviation are caused by 2 times of etching.

In order to complete the etching by 1 time, there is a method of using a negative resist material for the 1 st exposure and a positive resist material for the 2 nd exposure. There is also a method of using a positive resist material for the 1 st exposure and a negative resist material dissolved in a higher alcohol having 4 or more carbon atoms, which does not dissolve the positive resist material, for the 2 nd exposure. In these cases, a negative resist material having low resolution is used, and therefore, the resolution is deteriorated.

As another method, a method has been proposed in which a pattern formed by the 1 st exposure and development is treated with a reactive metal compound to insolubilize the pattern, and then a2 nd pattern is formed again between the 1 st pattern and the pattern by exposure and development (patent document 1).

The most critical issue in such double patterning is the alignment accuracy of the 1 st pattern and the 2 nd pattern. Since the magnitude of the positional deviation becomes a dimensional deviation of the line pattern, for example, when a line pattern of 32nm is to be formed with an accuracy of 10%, an alignment accuracy within 3.2nm is required. The alignment accuracy of the current scanner is about 8nm, so the accuracy needs to be greatly improved.

Since it is difficult to solve the problem of alignment accuracy of the scanner or to divide 1 pattern into 2 patterns, a method of halving the pitch by 1 exposure has been studied. For example, a sidewall spacer (non-patent document 2) method has been proposed in which films are attached to sidewalls on both sides of a line pattern, and a pitch is halved by the films. As the sidewall spacer method, a spacer pitch (spacer space) method using a hard mask attached to a resist underlayer and a film at a pitch between a film on a sidewall thereof and a film buried in the film as an etching pattern, and a spacer line (spacer line) method using a film on a hard mask sidewall attached to a resist underlayer as an etching pattern have been proposed (non-patent document 3).

As the side wall edge lining method, it is further proposed to use CVD method with SiO2α -Si, α -C is equal to the pattern of the core, after forming the side wall, the dry etching is used to remove the core pattern, thereby using the side wall as the pattern, and the pattern pitch is halved, however, the heating temperature for forming the side wall needs to be 150 ℃ or more, accordingly, when the resist pattern formed by exposure is used as the core, the pattern collapses under such high temperature conditions, and the strength of the core of the edge liner is insufficientAnd (4) transforming.

Therefore, instead of directly using the resist pattern as a core pattern, CVD-SiO was used2A core material formed by CVD-C, and dry etching to transfer a resist pattern to the core material, then forming a sidewall on the core material to which the pattern is transferred, and then removing the core material, thereby forming a pattern with a pattern pitch of 1/2. At this time, CVD-SiO to be a core material2CVD-C has very high strength and good performance as a core material. However, the sidewall spacer method using the CVD method has problems such as a throughput and difficulty in planarizing the substrate.

Disclosure of Invention

Problems to be solved by the invention

In general, a fluorine ion-containing stripping liquid or an alkaline stripping liquid is used for stripping and cleaning a silicone compound. Heretofore, the present inventors have provided a composition for forming a silicon-containing resist underlayer film for a 3-layer resist having excellent performance, which is a hydrogen peroxide solution containing ammonia (aqueous hydrogen peroxide solution containing ammonia) in the form of an alkaline stripping solution, so-called wet stripping with SC1, for example, japanese patent application laid-open nos. 2010-85893, 2010-85912, 2012-53253, 2015-28145, 2016-74774 and 2016-177262. However, when these materials are used to remove a silicon-containing material remaining on the upper portion of the underlayer film pattern after dry etching by SC1, the substrate may be deteriorated by hydrogen peroxide depending on the substrate material, and the yield may be lowered. Similarly, in the case of the fluorine ion-containing stripping solution, a substrate material which is corroded by the fluorine ion-containing stripping solution cannot be used, and thus the process application range is narrowed.

Therefore, a material having high releasability using an alkaline release solution containing no hydrogen peroxide is required. Therefore, the present inventors have made extensive studies to achieve the above object, and when the stripping property with an alkaline stripping solution is to be improved without using hydrogen peroxide, the solubility of the alkaline developing solution for developing the upper layer resist becomes high, and the resist pattern is stripped from the resist underlayer film.

The purpose of the present invention is to provide a thermosetting silicon-containing compound that can be used as a material for forming a silicon-containing film, which has the property of improving the solubility in an alkaline stripping solution that does not contain hydrogen peroxide, while having the resistance to an alkaline developing solution, and which has the property of being opposite to each other, and to provide a composition for forming a silicon-containing film and a pattern forming method using the thermosetting silicon-containing compound.

Means for solving the problems

In order to achieve the above object, the present invention provides a thermosetting silicon-containing compound containing at least one of the structural units represented by the following general formulae (Sx-1), (Sx-2) and (Sx-3).

[ solution 1]

In the formula, R1Is a 1-valent organic group containing both a phenyl group which may have a substituent and a non-aromatic ring having 3 to 10 carbon atoms. R2、R3Is the aforementioned R1Or a C1-valent organic group having 1 to 30 carbon atoms.

Such a thermosetting silicon-containing compound can be used as a silicon-containing film-forming composition which is a silicon-containing resist intermediate film (underlayer film) material having mutually opposite properties in which the solubility in an alkaline stripping solution containing no hydrogen peroxide is improved, although the silicon-containing film-forming composition has resistance to an alkaline developing solution.

And, the aforementioned R1A1-valent organic group represented by the following general formula (Sx-R1) is preferable.

[ solution 2]

-R1=-R11-R12-R13-R14-R15(Sx-R1)

In the formula, R11Is a single bond or a structure represented by the following formula (1). R12Is any of the structures having a ring represented by the following formula (2). R13Is a single bond or a structure represented by the following formula (3). R14Is a single bond or a structure represented by the following formula (4). R15Is a phenyl group which may have a substituent. However, R13And R14When simultaneously a single bond, R15And R12Directly bonded. R14When is a single bond, and R15Bonded R13It is limited to carbon atoms or silicon atoms, but carbon of the carbonyl group is excluded.

[ solution 3]

(Si)-CH2-(R12) (Si)-CH2O-(R12) (Si)-CH2CH2-(R12) (1)

Wherein (Si) and (R)12) Is not described as constituting R11The structure of (1).

[ solution 4]

In the formula (R)11) And (R)13) Is not described as constituting R12The structure of (1).

[ solution 5]

In the formula (R)12) And (R)14) Is not described as constituting R13The structure of (1).

[ solution 6]

In the formula (R)13) And (R)15) Is not described as constituting R14The structure of (1).

With such a configuration, the effect of the present invention can be further improved.

Further, the present invention provides a composition for forming a silicon-containing film, comprising: the above thermosetting silicon-containing compound, and a crosslinking catalyst.

The composition containing the thermosetting silicon-containing compound of the present invention as described above is a silicon-containing resist intermediate film (underlayer film) material which can achieve so-called contradictory performances that can improve the solubility in an alkaline stripping solution containing no hydrogen peroxide, although it has resistance to an alkaline developing solution.

In this case, the crosslinking catalyst may be a sulfonium salt, an iodonium salt, a phosphonium salt, an ammonium salt, an alkali metal salt, or a polysiloxane having any of a sulfonium salt, an iodonium salt, a phosphonium salt, and an ammonium salt as part of the structure.

Such a crosslinking catalyst can be used in the silicon-containing film-forming composition of the present invention.

Further, it preferably contains 1 or more kinds of compounds represented by the following general formula (P-0).

[ solution 7]

In the formula, R300Is a 2-valent organic radical substituted by 1 or more than 2 fluorine atoms, R301And R302Each independently represents a C1-20 linear, branched or cyclic 1-valent hydrocarbon group which may be substituted with a heteroatom or may have a heteroatom inserted therein. R303Represents a C1-20 linear, branched or cyclic 2-valent hydrocarbon group which may be substituted with a heteroatom or may be interrupted by a heteroatom. And R is301And R302Or R301And R303May also be bonded to each other andwherein the sulfur atoms together form a ring L304Represents a single bond or a C1-20 linear, branched or cyclic 2-valent hydrocarbon group which may be substituted with a heteroatom or may be interrupted by a heteroatom.

In this case, the compound represented by the general formula (P-0) is preferably a compound represented by the following general formula (P-1).

[ solution 8]

In the formula, X305、X306Each independently represents any one of a hydrogen atom, a fluorine atom and a trifluoromethyl group, but not all are hydrogen atoms. n is307Represents an integer of 1 to 4. R301、R302、R303And L304As before.

Such an acid generator can be combined with the thermosetting silicon-containing compound of the present invention to obtain a silicon-containing resist intermediate film (underlayer film) which can contribute to the squaring of the cross-sectional shape of the upper layer resist without deteriorating the solubility in an alkaline stripping solution.

Further, the present invention provides a pattern forming method, comprising the steps of:

(1) forming an organic underlayer film on a workpiece, forming a silicon-containing intermediate film thereon from the above-described silicon-containing film-forming composition, and forming an upper layer resist film thereon,

(2) exposing and developing the upper layer resist film to form an upper layer resist pattern,

(3) forming an organic lower layer film pattern by dry etching while using the upper layer resist pattern as a mask to transfer the upper layer resist pattern to a silicon-containing intermediate film, using the silicon-containing intermediate film to which the upper layer resist pattern is transferred as a mask, leaving a part of the silicon-containing intermediate film on the organic lower layer film, and transferring the upper layer resist pattern to the organic lower layer film,

(4) removing the silicon-containing intermediate film remaining on the upper portion of the organic underlayer film pattern with a stripping solution,

(5) forming an inorganic silicon film composed of any one of polysilicon, amorphous silicon, silicon oxide, silicon nitride oxide, silicon carbide, or a composite material thereof by a CVD method or an A L D method so as to cover the organic lower layer film pattern,

(6) removing a part of the inorganic silicon film by dry etching to expose an upper portion of the organic lower layer film pattern, and

(7) the organic lower layer film pattern was removed, and an inorganic silicon film pattern having a pattern pitch of 1/2 of the upper layer resist pattern was formed.

The composition for forming a silicon-containing film of the present invention can be used in such a pattern forming method.

In the step (4), the stripping solution preferably contains either or both of fluorine ions and nitrogen-containing cations.

The object to be processed is preferably a semiconductor device substrate, or a semiconductor device substrate on which a metal film, an alloy film, a metal carbide film, a metal oxide film, a metal nitride film, a metal oxycarbide film, or a metal oxynitride film is formed.

The metal constituting the workpiece is preferably silicon, gallium, titanium, tungsten, hafnium, zirconium, chromium, germanium, copper, silver, gold, indium, arsenic, palladium, tantalum, iridium, aluminum, iron, molybdenum, cobalt, or an alloy thereof.

The pattern forming method of the present invention may be such a method.

ADVANTAGEOUS EFFECTS OF INVENTION

In the silicon-containing resist intermediate film (underlayer film) having an alicyclic structure using the composition for forming a silicon-containing film according to the present invention, the alicyclic structure can prevent collapse of the resist pattern by preventing the developer from penetrating between the resist pattern and the silicon-containing resist intermediate film. After the pattern is transferred to the intermediate film, the alicyclic structure in the silicon-containing intermediate film is removed by dry etching to form a porous silicon-containing intermediate film. This porosity is a characteristic feature that peeling and removal can be easily performed even in a thin alkaline peeling liquid.

Further, since the silicon-containing intermediate film obtained from the composition for forming a silicon-containing film of the present invention can obtain high etching selectivity with an organic material, the formed resist pattern can be transferred to the silicon-containing intermediate film, the organic underlayer film or the CVD organic hard mask in order using a dry etching treatment. In particular, in recent semiconductor device manufacturing processes in which miniaturization is underway, the thickness of the resist film tends to be thin in order to prevent pattern collapse after development, and therefore, it is increasingly difficult to transfer a pattern to the resist underlayer film. However, when the composition for forming a silicon-containing film of the present invention is used, even if a thin resist film is used as an etching mask, deformation of a resist pattern during dry etching can be suppressed, and the pattern can be transferred to a substrate with high accuracy.

Drawings

FIG. 1 is a schematic view showing an example of the pattern forming method of the present invention.

Detailed Description

As described above, there has been a demand for development of a thermosetting silicon-containing compound that can be used as a material for forming a silicon-containing film, which has resistance to alkali developing solutions but improved solubility in an alkaline stripping solution containing no hydrogen peroxide, and which has properties that are opposite to each other, a composition for forming a silicon-containing film using the thermosetting silicon-containing compound, and a pattern forming method.

As a result of extensive studies to achieve the above object, the present inventors have found that when an alicyclic structure is introduced into a conventional silicon-containing resist intermediate film (underlayer film), the alicyclic structure can ensure resistance to an alkali developing solution, but can achieve solubility in an alkaline stripping solution containing no hydrogen peroxide after dry etching.

That is, it was found that the peeling of the upper resist pattern at the time of exposure was presumably caused by the penetration of the developer into the space between the upper resist pattern and the silicon-containing intermediate film. Then, an alicyclic structure is introduced to prevent a developer from penetrating into an interface between the resist pattern and the intermediate film during exposure and development. Thereby, the penetration of a developing solution is suppressed by utilizing the hydrophobic property derived from the alicyclic structure, and a resist pattern having good adhesion can be formed. On the other hand, after the pattern is transferred to the organic underlayer film, the alicyclic structure, which is an organic component in the silicon-containing interlayer film, is removed by the dry etching gas applied to the organic underlayer film, and the removed silicon-containing interlayer film forms micropores derived from the alicyclic structure. The fine pores have an effect of increasing the surface area of the silicon-containing intermediate film, and contact with an alkaline stripping solution can be accelerated by the effect, thereby improving the stripping performance, and the present invention has been completed.

That is, the present invention is a thermosetting silicon-containing compound containing at least one of the structural units represented by the following general formulae (Sx-1), (Sx-2) and (Sx-3).

[ solution 9]

In the formula, R1Is a 1-valent organic group containing both a phenyl group which may have a substituent and a non-aromatic ring having 3 to 10 carbon atoms. R2、R3Is the aforementioned R1Or a C1-valent organic group having 1 to 30 carbon atoms.

The present invention will be described in detail below, but the present invention is not limited thereto.

< thermosetting silicon-containing Compound >

The thermosetting silicon-containing compound of the present invention contains at least one of the structural units represented by the general formulae (Sx-1), (Sx-2) and (Sx-3). R in the above general formulae (Sx-1), (Sx-2) and (Sx-3)1A1-valent organic group represented by the following general formula (Sx-R1) is preferable.

[ solution 10]

-R1-R11-R12-R13-R14-R15(Sx-R1)

In the formula, R11Is a single bond or a structure represented by the following formula (1). R12Is any of the structures having a ring represented by the following formula (2). R13Is a single bond or a structure represented by the following formula (3). R14Is a single bond or a structure represented by the following formula (4). R15Is a phenyl group which may have a substituent. However, R13And R14When simultaneously a single bond, R15And R12Directly bonded. R14When is a single bond, and R15Bonded R13It is limited to carbon atoms or silicon atoms, but carbon of the carbonyl group is excluded.

[ solution 11]

(Si)-CH2-(R12)(Si)-CH2O-(R12)(Si)-CH2CH2-(R12) (1)

Wherein (Si) and (R)12) Is not described as constituting R11The structure of (1).

[ solution 12]

In the formula (R)11) And (R)13) Is not described as constituting R12The structure of (1).

[ solution 13]

In the formula (R)12) And (R)14) Is not described as constituting R13The structure of (1).

[ solution 14]

In the formula (R)13) And (R)15) Is not described as constituting R14The structure of (1).

R in the above general formula (Sx-R1)15The phenyl group which may have a substituent is not particularly limited, and for example, the following structure is preferable.

[ solution 15]

In the thermosetting silicon-containing compound of the present invention, the monovalent organic group R in (Sx-1), (Sx-2) and (Sx-3)1The following structure can be exemplified.

[ solution 16]

Wherein (Si) is a label indicating a bonding position and does not constitute R1The structure of (1).

[ solution 17]

Wherein (Si) is a label indicating a bonding position and does not constitute R1The structure of (1).

[ solution 18]

Wherein (Si) is a label indicating a bonding position and does not constitute R1The structure of (1).

[ solution 19]

Wherein (Si) is a label indicating a bonding position and does not constitute R1The structure of (1).

[ solution 20]

Wherein (Si) is a label indicating a bonding position and does not constitute R1The structure of (1).

The hydrolyzable monomer used as a raw material for forming the thermosetting silicon-containing compound of the present invention is not particularly limited if it is a hydrolyzable monomer capable of forming the structural units represented by the above (Sx-1) to (Sx-3)Otherwise, the method is limited. In particular, to have the above R on silicon1With 1,2 or 3 hydrolyzable groups such as chlorine, bromine, iodine, acetoxy, methoxy, ethoxy, propoxy or butoxy, or with 1-valent organic groups having 1 to 30 carbon atoms on silicon as R2、R3The hydrolyzable monomer of (3).

The thermosetting silicon-containing compound (Sx) of the present invention can be produced by subjecting a mixture containing the above hydrolyzable monomer and optionally the following hydrolyzable monomer (Sm) to hydrolytic condensation.

The hydrolyzable monomer (Sm) is not particularly limited, and examples thereof include: tetramethoxysilane, tetraethoxysilane, tetrapropoxysilane, tetraisopropoxysilane, trimethoxysilane, triethoxysilane, tripropoxysilane, triisopropoxysilane, methyltrimethoxysilane, methyltriethoxysilane, methyltripropoxysilane, methyltriisopropoxysilane, ethyltrimethoxysilane, ethyltriethoxysilane, ethyltripropoxysilane, ethyltriisopropoxysilane, vinyltrimethoxysilane, vinyltriethoxysilane, vinyltripropoxysilane, vinyltriisopropoxysilane, propyltrimethoxysilane, propyltriethoxysilane, propyltripropoxysilane, propyltriisopropoxysilane, isopropyltrimethoxysilane, isopropyltriethoxysilane, isopropyltripropoxysilane, isopropyltriisopropoxysilane, butyltrimethoxysilane, ethyltripropoxysilane, Butyltriethoxysilane, butyltripropoxysilane, butyltriisopropoxysilane, sec-butyltrimethoxysilane, sec-butyltriethoxysilane, sec-butyltripropoxysilane, sec-butyltriisopropoxysilane, tert-butyltrimethoxysilane, tert-butyltriethoxysilane, tert-butyltripropoxysilane, tert-butyltriisopropoxysilane, cyclopropyltrimethoxysilane, cyclopropyltriethoxysilane, cyclopropyltripropoxysilane, cyclopropyltriisopropoxysilane, cyclobutyltrimethoxysilane, cyclobutyltriethoxysilane, cyclobutyltripropoxysilane, cyclobutyltriisopropoxysilane, cyclopentyltrimethoxysilane, cyclopentyltriethoxysilane, cyclopentyltripropoxysilane, cyclopentyltriisopropoxysilane, cyclohexyltrimethoxysilane, cyclohexyltriethoxysilane, cyclohexyltripropoxysilane, Cyclohexyltriisopropoxysilane, cyclohexenyltrimethoxysilane, cyclohexenyltriethoxysilane, cyclohexenyltripropoxysilane, cyclohexenyltriisopropoxysilane, cyclohexenylethyltrimethoxysilane, cyclohexenylethyltriethoxysilane, cyclohexenylethyltripropoxysilane, cyclohexenylethyltriisopropoxysilane, cyclooctyltrimethoxysilane, cyclooctyltriethoxysilane, cyclooctyltripropoxysilane, cyclooctyltrispropoxysilane, cyclooctyltriisopropoxysilane, cyclopentylpropyltrimethoxysilane, cyclopentylpropyltriethoxysilane, cyclopentylpropyltripropoxysilane, cyclopentylpropyltriisopropoxysilane, bicycloheptenyltrimethoxysilane, bicycloheptenyltriethoxysilane, bicycloheptenyltripropoxysilane, bicycloheptenyltriisopropoxysilane, bicycloheptenyltrimethoxysilane, bicycloheptenyltripropoxysilane, bicycloheptenyltrimethoxysilane, cyclohexyltripropoxysilane, cyclohexenyltripropoxysilane, cyclohexyltripropoxysilane, Bicycloheptyltriethoxysilane, bicycloheptyltripropoxysilane, bicycloheptyltriisopropoxysilane, adamantyltrimethoxysilane, adamantyltripropoxysilane, adamantyltriisopropoxysilane, phenyltrimethoxysilane, phenyltriethoxysilane, phenyltripropoxysilane, phenyltriisopropoxysilane, benzyltrimethoxysilane, benzyltriethoxysilane, benzyltripropoxysilane, benzyltriisopropoxysilane, methoxybenzyltrimethoxysilane, methoxybenzyltriethoxysilane, methoxybenzyltripropoxysilane, methoxybenzyltriisopropoxysilane, tolyltrimethoxysilane, tolyltriethoxysilane, tolyltripropoxysilane, tolyltriisopropoxysilane, phenethyltrimethoxysilane, phenethyltriethoxysilane, phenyltriisopropoxysilane, phenethyltriisopropoxysilane, phenethyl trimethoxysilane, phenyltriisopropoxysilane, phenethyl triethoxysilane, phenyltriisopropoxysilane, and phenethyl trimethoxysilane, Phenethyltripropoxysilane, phenethyltriisopropoxysilane, naphthyltrimethoxysilane, naphthyltriethoxysilane, naphthyltripropoxysilane, naphthyltriisopropoxysilane, dimethyldimethoxysilane, dimethyldiethoxysilane, methylethyldimethoxysilane, methylethyldiethoxysilane, dimethyldipropoxysilane, dimethyldiisopropoxysilane, diethyldimethoxysilane, diethyldiethoxysilane, diethyldipropoxysilane, diethyldiisopropoxysilane, dipropyldimethoxysilane, dipropyldiethoxysilane, dipropyldiisopropoxysilane, diisopropyldimethoxysilane, diisopropyldiethoxysilane, diisopropyldipropyldipropoxysilane, diisopropyldiisopropoxysilane, dibutyldimethoxysilane, di-allyltriethoxysilane, di-isopropyltriethoxysilane, di-ethyltriethoxysilane, di-isopropyltriethoxysilane, di-isopropyldimethoxysilane, di-isopropyltriethoxysilane, di, Dibutyldiethoxysilane, dibutyldipropoxysilane, dibutyldiisopropoxysilane, di (sec-butyl) dimethoxysilane, di (sec-butyl) diethoxysilane, di (sec-butyl) dipropoxysilane, di (sec-butyl) diisopropoxysilane, di (tert-butyl) dimethoxysilane, di (tert-butyl) diethoxysilane, di (tert-butyl) dipropoxysilane, di (tert-butyl) diisopropoxysilane, dicyclopropyldimethoxysilane, dicyclopropyldiethoxysilane, dicyclopropyldimethoxysilane, dicyclobutyldiethoxysilane, dicyclobutyldiprethoxysilane, dicyclopentyldimethoxysilane, dicyclopentyldiethoxysilane, dicyclopentyldipropoxysilane, dicyclopentyldimethoxysilane, di (sec-butyl, Dicyclopentyldiisopropoxysilane, dicyclohexyldimethoxysilane, dicyclohexyldiethoxysilane, dicyclohexyldipropoxysilane, dicyclohexyldiisopropoxysilane, dicyclohexylhexenyldimethoxysilane, dicyclohexenyldiethoxysilane, dicyclohexenyldipropoxysilane, dicyclohexenyldiisopropoxysilane, dicyclohexenylethyldimethoxysilane, dicyclohexenylethyldiethoxysilane, dicyclohexenylethyldipropoxysilane, dicyclohexenylethylethyldiethoxysilane, dicyclooctyldimethoxysilane, dicyclooctyldethoxysilane, dicyclooctyldiisopropoxysilane, dicyclopentadienylpropyldimethoxysilane, dicyclopentadienylpropyldiethoxysilane, dicyclopentadienylpropyldipropoxysilane, dicyclopentadienylpropyldiisopropoxysilane, dicyclopentadienylpropyltriethoxysilane, dicyclopentadienyldiethoxysilane, dicyclopentadienylethylenediethoxysilane, bis (bicycloheptenyl) dimethoxysilane, bis (bicycloheptenyl) diethoxysilane, bis (bicycloheptenyl) dipropoxysilane, bis (bicycloheptenyl) diisopropoxysilane, bis (bicycloheptyl) dimethoxysilane, bis (bicycloheptyl) diethoxysilane, bis (bicycloheptyl) dipropoxysilane, bis (bicycloheptyl) diisopropoxysilane, diamantyldimethoxysilane, diamantyldiethoxysilane, diamantyldiiso-propoxysilane, diphenyldimethoxysilane, diphenyldiethoxysilane, methylphenyldimethoxysilane, methylphenyldiethoxysilane, diphenyldipropoxysilane, diphenyldiisopropoxysilane, trimethylmethoxysilane, trimethylethoxysilane, dimethylethylmethoxysilane, diphenyldimethoxysilane, bis (bicycloheptenyl) diisopropoxysilane, di (bicycloheptenyl), Dimethylethylethoxysilane, dimethylphenylmethoxysilane, dimethylphenylethoxysilane, dimethylbenzylmethoxysilane, dimethylbenzylethoxysilane, dimethylbenzylethyloxysilane, and the like.

More preferable examples of the above compounds include: tetramethoxysilane, tetraethoxysilane, methyltrimethoxysilane, methyltriethoxysilane, ethyltrimethoxysilane, ethyltriethoxysilane, vinyltrimethoxysilane, vinyltriethoxysilane, propyltrimethoxysilane, propyltriethoxysilane, isopropyltrimethoxysilane, isopropyltriethoxysilane, butyltrimethoxysilane, butyltriethoxysilane, isobutyltrimethoxysilane, isobutyltriethoxysilane, allyltrimethoxysilane, allyltriethoxysilane, cyclopentyltrimethoxysilane, cyclopentyltriethoxysilane, cyclohexyltrimethoxysilane, cyclohexyltriethoxysilane, cyclohexenyltrimethoxysilane, cyclohexenyltriethoxysilane, phenyltrimethoxysilane, phenyltriethoxysilane, benzyltrimethoxysilane, benzyltriethoxysilane, phenyltriethoxysilane, vinyltriethoxysilane, vinyltrimethoxysilane, vinyltriethoxysilane, phenethyltrimethoxysilane, phenethyltriethoxysilane, dimethyldimethoxysilane, dimethyldiethoxysilane, diethyldimethoxysilane, diethyldiethoxysilane, methylethyldimethoxysilane, methylethyldiethoxysilane, dipropyldimethoxysilane, dibutyldimethoxysilane, methylphenyldimethoxysilane, methylphenyldiethoxysilane, trimethylmethoxysilane, dimethylethylmethoxysilane, dimethylphenylmethoxysilane, dimethylbenzylmethoxysilane, and dimethylbenzylmethoxysilane.

R is as defined above2、R3Another example of the 1-valent organic group is an organic group having 1 or more carbon-oxygen single bonds or carbon-oxygen double bonds. Specifically, the organic group includes 1 or more groups selected from the group consisting of cyclic ether groups, ester groups, alkoxy groups, and hydroxyl groups. Examples of such organic groups include organic groups represented by the following general formula (Sm-R).

(P-Q1-(S1)v1-Q2-)u-(T)v2-Q3-(S2)v3-Q4- (Sm-R)

In the general formula (Sm-R), P is a hydrogen atom, a cyclic ether group, a hydroxyl group, an alkoxy group having 1 to 4 carbon atoms, an alkylcarbonyloxy group having 1 to 6 carbon atoms or an alkylcarbonyl group having 1 to 6 carbon atoms, Q1、Q2、Q3And Q4Each independently is-CqH(2q-p)PpWherein P is an integer of 0 to 3 and q is an integer of 0 to 10, as in the above, and q is 0 or 0 represents a single bond, u is an integer of 0 to 3, and S is1And S2Each independently represents-O-, -CO-, -OCO-, -COO-or-OCOO-. v1, v2, and v3 each independently represent 0 or 1. Examples of T being a 2-valent group consisting of a 2-valent atom other than carbon, an alicyclic ring, an aromatic ring, or a heterocyclic ring, and T being an alicyclic ring, an aromatic ring, or a heterocyclic ring which may contain a heteroatom such as an oxygen atom are shown below. T and Q2And Q3The position of the bond is not particularly limited, and may be suitably selected in consideration of reactivity due to steric factors, availability of a commercially available reagent used for the reaction, and the like.

[ solution 21]

Preferable examples of the organic group having 1 or more carbon-oxygen single bonds or carbon-oxygen double bonds of the general formula (Sm-R) are as follows. In the following formula, (Si) is described as a bonding position with Si.

[ solution 22]

Wherein (Si) is a label indicating a bonding position and does not constitute R2、R3The structure of (1).

[ solution 23]

Wherein (Si) is a label indicating a bonding position and does not constitute R2、R3The structure of (1).

And R is2、R3Examples of the organic group of (2) may also use an organic group having a silicon-silicon bond. Specific examples thereof include the following.

[ solution 24]

Wherein (Si) is a label indicating a bonding position and does not constitute R2、R3The structure of (1).

And R is2、R3As examples of the organic group (2), organic groups having a protecting group which is decomposed by an acid can also be used. Specifically, there may be mentioned: the organic groups exemplified in paragraphs (0058) to (0059) of Japanese patent application laid-open No. 2013-167669, and the organic groups derived from the silicon compounds exemplified in paragraph (0060) of Japanese patent application laid-open No. 2013-224279.

Furthermore, R2、R3Examples of the organic group of (2) may also use an organic group having a fluorine atom. Specifically, there may be mentioned: from paragraph (0062) to paragraph (0063) obtained from Japanese unexamined patent publication No. 2012-53253Organic groups of the silicon compounds exemplified are fallen.

[ Synthesis method of silicon-containing Compound (Sx) ]

(Synthesis method 1: acid catalyst)

The silicon-containing compound (Sx) of the present invention can be prepared by reacting a compound containing R1Monomers as substituents (monomers for forming the structural units (Sx-1) to (Sx-3)), or monomers containing R1The mixture of the substituent monomer(s) and the monomer (Sm) (hereinafter both are referred to as monomers) is produced by hydrolysis condensation in the presence of an acid catalyst.

Examples of the acid catalyst used in this reaction include organic acids such as formic acid, acetic acid, oxalic acid and maleic acid, hydrofluoric acid, hydrochloric acid, hydrobromic acid, sulfuric acid, nitric acid, perchloric acid, phosphoric acid, methanesulfonic acid, benzenesulfonic acid and toluenesulfonic acid, and the amount of the catalyst used is 1 × 10 relative to 1 mole of the monomer-6About 10 moles, preferably about 1 × 10 moles-5About 5 mol, 1 × 10-4More preferably about 1 mole.

The amount of water used in obtaining the silicon-containing compound from these monomers by hydrolytic condensation is preferably 0.01 to 100 moles, more preferably 0.05 to 50 moles, and still more preferably 0.1 to 30 moles, per 1 mole of the hydrolyzable substituent bonded to the monomer. If the amount is 100 mol or less, the apparatus used for the reaction is small and economical.

The procedure was to add the monomer to the aqueous catalyst solution and to start the hydrolytic condensation reaction. In this case, an organic solvent may be added to the aqueous catalyst solution, a monomer may be diluted with an organic solvent, or both of them may be performed. The reaction temperature is 0 to 100 ℃, preferably 5 to 80 ℃. Preferably, the temperature is kept at 5 to 80 ℃ during the dropping of the monomer, and then the monomer is cured at 20 to 80 ℃.

The organic solvent which may be added to the aqueous catalyst solution, or the organic solvent of the dilutable monomer, is preferably methanol, ethanol, 1-propanol, 2-propanol, 1-butanol, 2-methyl-1-propanol, acetone, acetonitrile, tetrahydrofuran, toluene, hexane, ethyl acetate, methyl ethyl ketone, methyl isobutyl ketone, cyclohexanone, methyl amyl ketone, butane diol monomethyl ether, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, butane diol monoethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, diethylene glycol dimethyl ether, propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate, tert-butyl propionate, propylene glycol mono-tert-butyl ether acetate, methyl isobutyl ether acetate, cyclohexanone, methyl amyl ketone, methyl, Gamma-butyrolactone, and the like, and mixtures thereof, and the like.

Among these solvents, water-soluble solvents are preferable. Examples thereof include: alcohols such as methanol, ethanol, 1-propanol, and 2-propanol; polyhydric alcohols such as ethylene glycol and propylene glycol; polyhydric alcohol condensate derivatives such as butane diol monomethyl ether, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, butane diol monoethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, butane diol monopropyl ether, propylene glycol monopropyl ether, and ethylene glycol monopropyl ether; acetone, acetonitrile, tetrahydrofuran, and the like. Among them, a solvent having a boiling point of 100 ℃ or lower is particularly preferable.

The amount of the organic solvent used is 0 to 1,000ml, particularly 0 to 500ml, based on 1 mole of the monomer. If the amount of the organic solvent used is small, the reaction vessel is small, and the method is economical.

Thereafter, if necessary, a neutralization reaction of the catalyst is carried out, and an aqueous reaction mixture solution is obtained. In this case, the amount of the basic substance that can be used for neutralization is preferably 0.1 to 2 equivalents based on the acid used for the catalyst. The alkaline substance may be any substance as long as it is alkaline in water.

Then, by-products such as alcohols generated by the hydrolytic condensation reaction are preferably removed from the reaction mixture by, for example, removal under reduced pressure. The temperature of the reaction mixture to be heated at this time depends on the kind of the organic solvent to be added and the alcohol to be produced by the reaction, and is preferably 0 to 100 ℃, more preferably 10 to 90 ℃, still more preferably 15 to 80 ℃. The degree of pressure reduction at this time varies depending on the kind of the organic solvent, alcohol, etc. to be removed, the exhaust device, the condensation/concentration device, and the heating temperature, and is preferably not more than atmospheric pressure, more preferably not more than 80kPa, and still more preferably not more than 50 kPa. It is not easy to accurately know the amount of alcohol removed at this time, but it is preferable to remove about 80 mass% or more of the alcohol produced.

The acid catalyst used for the hydrolytic condensation may then also be removed from the reaction mixture. The acid catalyst is removed by mixing water with the silicon compound-containing solution and extracting the silicon compound with an organic solvent. The organic solvent used in this case is preferably one which can dissolve the silicon-containing compound and which can be separated into 2 layers when mixed with water. Examples thereof include: methanol, ethanol, 1-propanol, 2-propanol, 1-butanol, 2-methyl-1-propanol, acetone, tetrahydrofuran, toluene, hexane, ethyl acetate, cyclohexanone, methyl amyl ketone, butane diol monomethyl ether, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, butane diol monoethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, butane glycol monopropyl ether, propylene glycol monopropyl ether, ethylene glycol monopropyl ether, propylene glycol dimethyl ether, diethylene glycol dimethyl ether, propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, t-butyl acetate, t-butyl propionate, propylene glycol mono-t-butyl ether acetate, gamma-butyrolactone, methyl isobutyl ketone, cyclopentyl methyl ether, and the like, and mixtures thereof.

In addition, a mixture of a water-soluble organic solvent and a sparingly water-soluble organic solvent may also be used. Suitable examples are methanol-ethyl acetate mixture, ethanol-ethyl acetate mixture, 1-propanol-ethyl acetate mixture, 2-propanol-ethyl acetate mixture, butane diol monomethyl ether-ethyl acetate mixture, propylene glycol monomethyl ether-ethyl acetate mixture, ethylene glycol monomethyl ether-ethyl acetate mixture, butane diol monoethyl ether-ethyl acetate mixture, propylene glycol monoethyl ether-ethyl acetate mixture, ethylene glycol monoethyl ether-ethyl acetate mixture, butane diol monopropyl ether-ethyl acetate mixture, propylene glycol monopropyl ether-ethyl acetate mixture, ethylene glycol monopropyl ether-ethyl acetate mixture, methanol-methyl isobutyl ketone mixture, ethanol-methyl isobutyl ketone mixture, 1-propanol-methyl isobutyl ketone mixture, methanol-methyl isobutyl ketone mixture, and mixtures thereof, 2-propanol-methyl isobutyl ketone mixture, propylene glycol monomethyl ether-methyl isobutyl ketone mixture, ethylene glycol monomethyl ether-methyl isobutyl ketone mixture, propylene glycol monoethyl ether-methyl isobutyl ketone mixture, ethylene glycol monoethyl ether-methyl isobutyl ketone mixture, propylene glycol monopropyl ether-methyl isobutyl ketone mixture, ethylene glycol monopropyl ether-methyl isobutyl ketone mixture, methanol-cyclopentyl methyl ether mixture, ethanol-cyclopentyl methyl ether mixture, 1-propanol-cyclopentyl methyl ether mixture, 2-propanol-cyclopentyl methyl ether mixture, propylene glycol monomethyl ether-cyclopentyl methyl ether mixture, ethylene glycol monomethyl ether-cyclopentyl methyl ether mixture, propylene glycol monoethyl ether-cyclopentyl methyl ether mixture, ethylene glycol monoethyl ether-cyclopentyl methyl ether mixture, propylene glycol monoethyl ether-methyl ether mixture, propylene glycol monoethyl ether, Propylene glycol monopropyl ether-cyclopentyl methyl ether mixture, ethylene glycol monopropyl ether-cyclopentyl methyl ether mixture, methanol-propylene glycol methyl ether acetate mixture, ethanol-propylene glycol methyl ether acetate mixture, 1-propanol-propylene glycol methyl ether acetate mixture, 2-propanol-propylene glycol methyl ether acetate mixture, propylene glycol monomethyl ether-propylene glycol methyl ether acetate mixture, ethylene glycol monomethyl ether-propylene glycol methyl ether acetate mixture, propylene glycol monoethyl ether-propylene glycol methyl ether acetate mixture, ethylene glycol monoethyl ether-propylene glycol methyl ether acetate mixture, propylene glycol monopropyl ether-propylene glycol methyl ether acetate mixture, ethylene glycol monopropyl ether-propylene glycol methyl ether acetate mixture, and the like, but the combination is not limited thereto.

The mixing ratio of the water-soluble organic solvent and the sparingly water-soluble organic solvent may be appropriately selected, and the water-soluble organic solvent is preferably 0.1 to 1,000 parts by mass, more preferably 1 to 500 parts by mass, and still more preferably 2 to 100 parts by mass, based on 100 parts by mass of the sparingly water-soluble organic solvent.

The silicon compound-containing solution 1L is preferably used in an amount of 0.01 to 100L, more preferably 0.05 to 50L, even more preferably 0.1 to 5L, and the cleaning method is preferably performed by placing the two solutions in the same vessel and stirring the mixture, and then allowing the mixture to stand to separate the water layer, and the number of cleaning is preferably 1 or more, and the cleaning effect cannot be obtained even if the cleaning is performed 10 or more, and preferably about 1 to 5 times.

Other methods for removing the acid catalyst include a method using an ion exchange resin, and a method of removing the acid catalyst by neutralizing with an epoxy compound such as ethylene oxide or propylene oxide. These methods can be appropriately selected in accordance with the acid catalyst used for the reaction.

In this case, since a part of the silicon-containing compound may escape into the water layer by the washing operation and may obtain substantially the same effect as that of the classification operation, the number of washing operations and the amount of washing water may be appropriately selected depending on the catalyst removal effect and the classification effect.

In either case of the silicon-containing compound with the acid catalyst remaining therein or the silicon-containing compound solution with the acid catalyst removed therefrom, a desired silicon-containing compound solution can be obtained by adding a final solvent and performing solvent exchange under reduced pressure. The temperature of the solvent exchange at this time depends on the kind of the reaction solvent and the extraction solvent to be removed, and is preferably 0 to 100 ℃, more preferably 10 to 90 ℃, still more preferably 15 to 80 ℃. The degree of pressure reduction at this time varies depending on the kind of the extraction solvent to be removed, the exhaust device, the condensation/concentration device, and the heating temperature, and is preferably not more than atmospheric pressure, more preferably not more than 80kPa on an absolute pressure gauge, and still more preferably not more than 50kPa on an absolute pressure gauge.

In this case, the silicon-containing compound may be unstable due to the change of the solvent. This occurs depending on the compatibility of the final solvent with the silicon-containing compound, and in order to prevent this, a 1-or 2-or more-membered alcohol having a cyclic ether as a substituent described in the paragraphs (0181) to (0182) of Japanese patent laid-open No. 2009-126940 may be added as a stabilizer. The amount of the silicon compound to be added is preferably 0 to 25 parts by mass, more preferably 0 to 15 parts by mass, even more preferably 0 to 5 parts by mass, based on 100 parts by mass of the silicon-containing compound in the solution before the solvent exchange, and in some cases, it is preferably 0.5 parts by mass or more. If necessary, the solution before solvent exchange may be subjected to solvent exchange operation after adding a 1-or 2-or more-membered alcohol having a cyclic ether as a substituent.

When the silicon-containing compound is concentrated to a certain concentration or more, the condensation reaction proceeds further and the compound is in a state of being insoluble in the organic solvent, so that it is preferable to prepare a solution having an appropriate concentration in advance. If the concentration is too low, the amount of the solvent becomes too large, and thus it is economically preferable to prepare a solution having an appropriate concentration in advance. The concentration in this case is preferably 0.1 to 20% by mass.

The final solvent to be added to the silicon-containing compound solution is preferably an alcohol-based solvent, and is particularly preferably a monoalkyl ether derivative such as ethylene glycol, diethylene glycol, triethylene glycol, propylene glycol, dipropylene glycol, or butane diol. Specifically, butane diol monomethyl ether, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, butane diol monoethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, butane diol monopropyl ether, propylene glycol monopropyl ether, ethylene glycol monopropyl ether, diacetone alcohol and the like are preferable.

If these solvents are the main component, a non-alcohol solvent may be added as an auxiliary solvent. Examples of the auxiliary solvent include acetone, tetrahydrofuran, toluene, hexane, ethyl acetate, cyclohexanone, methyl amyl ketone, propylene glycol dimethyl ether, diethylene glycol dimethyl ether, propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, t-butyl acetate, t-butyl propionate, propylene glycol mono-t-butyl ether acetate, γ -butyrolactone, methyl isobutyl ketone, and cyclopentyl methyl ether.

In another reaction operation using an acid catalyst, water or an aqueous organic solvent is added to a monomer or an organic solvent solution of the monomer to start a hydrolysis reaction. In this case, the catalyst may be added to the monomer or an organic solvent solution of the monomer, or may be added to water or an aqueous organic solvent. The reaction temperature is 0 to 100 ℃, preferably 10 to 80 ℃. Preferably, the temperature is raised to 10 to 50 ℃ in the case of dripping water, and then the temperature is raised to 20 to 80 ℃ to age the mixture.

When an organic solvent is used, it is preferably a water-soluble organic solvent, and examples thereof include: and polyhydric alcohol condensate derivatives such as methanol, ethanol, 1-propanol, 2-propanol, 1-butanol, 2-methyl-1-propanol, acetone, tetrahydrofuran, acetonitrile, butane diol monomethyl ether, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, butane diol monoethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, butane diol monopropyl ether, propylene glycol monopropyl ether, ethylene glycol monopropyl ether, propylene glycol dimethyl ether, diethylene glycol dimethyl ether, propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, and propylene glycol monopropyl ether, and mixtures thereof.

The amount of the organic solvent to be used is 0 to 1,000ml, particularly 0 to 500ml, based on 1 mole of the monomer. The reaction vessel is smaller when the amount of the organic solvent used is smaller, and the method is more economical. The resulting reaction mixture can be subjected to a post-treatment in the same manner as in the foregoing method, and a silicon-containing compound can be obtained.

(Synthesis method 2: base catalyst)

The silicon-containing compound (Sx) may be prepared by incorporating R as described above1Examples of the base catalyst used in the hydrolysis condensation of the monomer (Sm) and the substituent monomer(s) in the presence of a base catalyst include methylamine, ethylamine, propylamine, butylamine, ethylenediamine, hexamethylenediamine, dimethylamine, diethylamine, ethylmethylamine, trimethylamine, triethylamine, tripropylamine, tributylamine, cyclohexylamine, dicyclohexylamine, monoethanolamine, diethanolamine, dimethylmonoethanolamine, monomethyldiethanolamine, triethanolamine, diazabicyclooctane, diazabicyclononene, diazabicycloundecene, hexamethylenetetramine, aniline, N-dimethylaniline, pyridine, N-dimethylaminopyridine, pyrrole, piperazine, pyrrolidine, piperidine, picoline, tetramethylammonium hydroxide, choline hydroxide, tetrapropylammonium hydroxide, tetrabutylammonium hydroxide, ammonia, lithium hydroxide, sodium hydroxide, potassium hydroxide, barium hydroxide, calcium hydroxide and the like, and the amount of the catalyst used is 1 × 10 mol per 1 mol of the silicon monomer-6The molar ratio is preferably from 1 to 10 mol, more preferably 1 × 10-5Mol to 5 mol, 1 × 10-4The molar ratio is more preferably 1 mol.

The amount of water used in obtaining the silicon-containing compound from the monomer by hydrolytic condensation is preferably 0.1 to 50 moles per 1 mole of the hydrolyzable substituent bonded to the monomer. If the amount is 50 mol or less, the apparatus used for the reaction is small, and it is economical.

The procedure was to add the monomer to the aqueous catalyst solution and to start the hydrolytic condensation reaction. In this case, an organic solvent may be added to the aqueous catalyst solution, a monomer may be diluted with an organic solvent, or both of them may be performed. The reaction temperature is 0 to 100 ℃, preferably 5 to 80 ℃. Preferably, the temperature is kept at 5 to 80 ℃ during the dropping of the monomer, and then the monomer is cured at 20 to 80 ℃.

The organic solvent which can be added to the aqueous alkali catalyst solution or the organic solvent which can dilute the monomer may be the same organic solvent as exemplified as the organic solvent which can be added to the aqueous acid catalyst solution. In addition, in order to economically carry out the reaction, the amount of the organic solvent to be used is preferably 0 to 1,000ml per 1 mol of the monomer.

Thereafter, if necessary, a neutralization reaction of the catalyst is carried out, and an aqueous reaction mixture solution is obtained. In this case, the amount of the acidic substance usable for neutralization is preferably 0.1 to 2 equivalents based on the basic substance used for the catalyst. The acidic substance may be any substance that exhibits acidity in water.

Then, by-products such as alcohols generated by the hydrolytic condensation reaction are preferably removed from the reaction mixture by, for example, removal under reduced pressure. The temperature of heating the reaction mixture at this time depends on the kind of the organic solvent added and the alcohol produced by the reaction, but is preferably 0 to 100 ℃, more preferably 10 to 90 ℃, still more preferably 15 to 80 ℃. The degree of pressure reduction at this time varies depending on the kind of the organic solvent and alcohol to be removed, the exhaust device, the condensation/concentration device, and the heating temperature, and is preferably not more than atmospheric pressure, more preferably not more than 80kPa on an absolute pressure gauge, and still more preferably not more than 50kPa on an absolute pressure gauge. It is not easy to accurately know the amount of alcohol removed at this time, but it is preferable to remove about 80 mass% or more of the alcohol produced.

Then, in order to remove the catalyst used for the hydrolytic condensation, the silicon-containing compound is extracted with an organic solvent. The organic solvent used in this case is preferably one which can dissolve the silicon-containing compound and which can be separated into 2 layers when mixed with water. Examples thereof include: methanol, ethanol, 1-propanol, 2-propanol, 1-butanol, 2-methyl-1-propanol, acetone, tetrahydrofuran, toluene, hexane, ethyl acetate, cyclohexanone, methyl amyl ketone, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol monopropyl ether, ethylene glycol monopropyl ether, propylene glycol dimethyl ether, diethylene glycol dimethyl ether, propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, t-butyl acetate, t-butyl propionate, propylene glycol mono-t-butyl ether acetate, γ -butyrolactone, methyl isobutyl ketone, cyclopentyl methyl ether, and the like, and mixtures thereof.

Then, in order to remove the base catalyst used for the hydrolytic condensation, the silicon-containing compound is extracted with an organic solvent. The organic solvent used in this case is preferably one which can dissolve the silicon-containing compound and which can be separated into 2 layers when mixed with water. In addition, a mixture of a water-soluble organic solvent and a sparingly water-soluble organic solvent may also be used.

Specific examples of the organic solvent used in the removal of the base catalyst include: the organic solvent used for removing the acid catalyst is specifically exemplified by the above-mentioned organic solvent or the same organic solvent as a mixture of a water-soluble organic solvent and a water-insoluble organic solvent.

The mixing ratio of the water-soluble organic solvent and the sparingly water-soluble organic solvent is suitably selected, and the water-soluble organic solvent is 0.1 to 1,000 parts by mass, preferably 1 to 500 parts by mass, more preferably 2 to 100 parts by mass, based on 100 parts by mass of the sparingly water-soluble organic solvent.

Then, the silicon compound solution is washed with neutral water in an amount of 0.01 to 100L, preferably 0.05 to 50L, more preferably 0.1 to 5L relative to the amount of the silicon compound solution 1L, preferably with water which is usually called deionized water or ultrapure water, and the washing method is carried out by placing the two solutions in the same vessel, stirring the solution, and then standing the solution to separate the water layers, wherein the number of washing is preferably 1 or more, and the washing effect cannot be obtained even if the washing is 10 or more, and preferably about 1 to 5 times.

The final solvent is added to the washed silicon-containing compound solution, and solvent exchange is performed under reduced pressure, whereby a desired silicon-containing compound solution is obtained. The temperature of the solvent exchange at this time depends on the kind of the extraction solvent to be removed, and is preferably 0 to 100 ℃, more preferably 10 to 90 ℃, still more preferably 15 to 80 ℃. The degree of pressure reduction at this time varies depending on the kind of the extraction solvent to be removed, the exhaust device, the condensation/concentration device, and the heating temperature, and is preferably not more than atmospheric pressure, more preferably not more than 80kPa on an absolute pressure gauge, and still more preferably not more than 50kPa on an absolute pressure gauge.

The final solvent to be added to the silicon-containing compound solution is preferably an alcohol-based solvent, and is particularly preferably a monoalkyl ether such as ethylene glycol, diethylene glycol, or triethylene glycol, or a monoalkyl ether such as propylene glycol or dipropylene glycol. Specifically, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol monopropyl ether, ethylene glycol monopropyl ether, diacetone alcohol and the like are preferable.

In another reaction operation using the alkali catalyst, water or a water-containing organic solvent is added to a monomer or an organic solution of the monomer to start a hydrolysis reaction. In this case, the catalyst may be added to the monomer or an organic solution of the monomer, or may be added to water or an aqueous organic solvent. The reaction temperature is 0 to 100 ℃, preferably 10 to 80 ℃. Preferably, the temperature is raised to 10 to 50 ℃ in the case of dripping water, and then the temperature is raised to 20 to 80 ℃ to age the mixture.

The organic solvent which can be used as the organic solution or aqueous organic solvent of the monomer is preferably a water-soluble organic solvent, and there can be mentioned: and polyhydric alcohol condensate derivatives such as methanol, ethanol, 1-propanol, 2-propanol, 1-butanol, 2-methyl-1-propanol, acetone, tetrahydrofuran, acetonitrile, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol monopropyl ether, ethylene glycol monopropyl ether, propylene glycol dimethyl ether, diethylene glycol dimethyl ether, propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, and propylene glycol monopropyl ether, and mixtures thereof.

The molecular weight of the silicon-containing compound obtained by the above-mentioned synthesis method 1 or 2 can be adjusted not only by selection of monomers but also by controlling the reaction conditions during polymerization, and when a silicon-containing compound having a weight average molecular weight of more than 100,000 is used, foreign matter may be generated and coating unevenness may occur, and a silicon-containing compound having a weight average molecular weight of 100,000 or less is preferably used, more preferably 200 to 50,000, and even more preferably 300 to 30,000. The data on the weight average molecular weight are obtained by Gel Permeation Chromatography (GPC) using RI as a detector and tetrahydrofuran as a solvent, and the molecular weight is expressed in terms of polystyrene using polystyrene as a standard substance.

The silicon-containing compound of the present invention can be produced by using the acid or base catalyst as the hydrolyzable monomer.

Further, a polysiloxane derivative produced by mixing these hydrolyzable monomers with a hydrolyzable metal compound represented by the following general formula (Mm) under the condition using the above-mentioned acid or base catalyst may be used as a component of the composition for forming a silicon-containing film of the present invention.

U(OR7)m7(OR8)m8(Mm)

In the formula, R7、R8Is an organic group having 1 to 30 carbon atoms, m7+ m8 is a number having the same valence depending on the type of U, m7 and m8 are integers of 0 or more, and U is an element of group III, group IV or group V of the periodic table, excluding carbon and silicon.

The hydrolyzable metal compound (Mm) used in this case is exemplified as follows.

When U is boron, examples of the compound represented by the general formula (Mm) include methoxy boron, ethoxy boron, propoxy boron, butoxy boron, pentoxy boron, hexoxy boron, cyclopentoxy boron, cyclohexoxy boron, allyloxy boron, phenoxy boron, methoxyethoxy boron, boric acid, boron oxide, and the like as monomers.

When U is aluminum, examples of the compound represented by the general formula (Mm) include methoxyaluminum, ethoxyaluminum, propoxyaluminum, butoxyaluminum, pentoxyaluminum, hexyloxyaluminum, cyclopentyloxyaluminum, cyclohexyloxyaluminum, allyloxyaluminum, phenoxyaluminum, methoxyethoxyaluminum, ethoxyethoxyaluminum, dipropoxyethylacetoacetate aluminum, dibutoxyethylacetoacetate aluminum, propoxybiethylacetoacetate aluminum, butoxybisethylacetoacetate aluminum, 2, 4-pentanedionate aluminum, and 2,2,6, 6-tetramethyl-3, 5-heptanedionate aluminum as monomers.

When U is gallium, examples of the compound represented by the general formula (Mm) include methoxygallium, ethoxygallium, propoxygallium, butoxygallium, pentoxygallium, hexoxygallium, cyclopentyloxygallium, cyclohexyloxygallium, allyloxygallium, phenoxygallium, methoxyethoxygallium, ethoxyethoxygallium, dipropoxyethylacetoacetic acid gallium, dibutoxyethylacetoacetic acid gallium, propoxybiethylacetoacetate gallium, butoxybisethylacetoacetate gallium, 2, 4-pentanedionato gallium, 2,6, 6-tetramethyl-3, 5-heptanedionato gallium, and the like as a monomer.

When U is yttrium, examples of the compound represented by the general formula (Mm) include methoxyyttrium, ethoxyyttrium, propoxytrium, butoxyyttrium, pentoxyyttrium, hexyloxyyttrium, cyclopentyloxyyttrium, cyclohexyloxyyttrium, allyloxyyttrium, phenoxyyttrium, methoxyethoxyyttrium, ethoxyethoxyyttrium, dipropoxyethylacetoacetate, dibutoxyethylacetoacetate, propoxybutylethylacetoacetate, butoxybisethylacetoacetate, 2, 4-pentanedionatoyttrium, 2,6, 6-tetramethyl-3, 5-heptanedionatoyttrium as monomers.

When U is germanium, examples of the compound represented by the general formula (Mm) include methoxy germanium, ethoxy germanium, propoxy germanium, butoxy germanium, pentoxy germanium, hexoxy germanium, cyclopentoxy germanium, cyclohexoxy germanium, allyloxy germanium, phenoxy germanium, methoxyethoxy germanium, ethoxyethoxy germanium, and the like as monomers.

When U is titanium, examples of the compound represented by the general formula (Mm) include methoxytitanium, ethoxytitanium, propoxytitanium, butoxytitanium, pentyloxytitanium, hexyloxytitanium, cyclopentyloxytitanium, cyclohexyloxytitanium, allyloxytitanium, phenoxytitanium, methoxyethoxytitanium, ethoxyethoxyethoxytitanium, dipropoxybisetoethylacetoacetate, dibutoxybisetoethylacetoacetate, dipropoxybis-2, 4-pentanedionatotitanium, dibutoxybis-2, 4-pentanedionatotitanium, and the like as monomers.

When U is hafnium, examples of the compound represented by the general formula (Mm) include methoxyhafnium, ethoxyhafnium, propoxyhhafnium, butoxyhafnium, pentyloxyhafnium, hexyloxyhafnium, cyclopentyloxyhafnium, cyclohexyloxyhafnium, allyloxyhafnium, phenoxyhafnium, methoxyethoxyhafnium, ethoxyethoxyhafnium, dipropoxybisetoethylacetoacetate, dibutoxybisetoethylacetoacetate, dipropoxybis 2, 4-pentanedionatohafnium, dibutoxybis 2, 4-pentanedionatohafnium, and the like as monomers.

When U is tin, examples of the compound represented by the general formula (Mm) include methoxy tin, ethoxy tin, propoxy tin, butoxy tin, phenoxy tin, methoxy ethoxy tin, ethoxy tin, 2, 4-pentanedionato tin, and 2,2,6, 6-tetramethyl-3, 5-heptanedionato tin as monomers.

When U is arsenic, examples of the compound represented by the general formula (Mm) include methoxyarsenic, ethoxyarsenic, propoxyarsenic, butoxyarsenic, phenoxyarsenic and the like as monomers.

When U is antimony, examples of the compound represented by the general formula (Mm) include methoxy antimony, ethoxy antimony, propoxy antimony, butoxy antimony, phenoxy antimony, antimony acetate, antimony propionate and the like as monomers.

When U is niobium, examples of the compound represented by the general formula (Mm) include methoxyniobium, ethoxyniobium, propoxyiniobium, butoxyniobium, phenoxyniobium, and the like as monomers.

When U is tantalum, examples of the compound represented by the general formula (Mm) include tantalum methoxide, tantalum ethoxide, tantalum propoxide, tantalum butoxide, tantalum phenoxide, and the like as monomers.

When U is bismuth, examples of the compound represented by the general formula (Mm) include methoxy bismuth, ethoxy bismuth, propoxy bismuth, butoxy bismuth, and phenoxy bismuth as monomers.

When U is phosphorus, examples of the compound represented by the general formula (Mm) include trimethyl phosphate, triethyl phosphate, tripropyl phosphate, trimethyl phosphite, triethyl phosphite, tripropyl phosphite, phosphorus pentoxide, and the like as monomers.

When U is vanadium, examples of the compound represented by the general formula (Mm) include vanadium bis (2, 4-pentanedionate) oxide, vanadium 2, 4-pentanedionate, vanadium tributoxide, vanadium tripropoxide and the like as monomers.

When U is zirconium, examples of the compound represented by the general formula (Mm) include methoxyzirconium, ethoxyzirconium, propoxyzirconium, butoxyzirconium, phenoxyzirconium, bis (2, 4-pentanedionate) dibutoxyzirconium, bis (2,2,6, 6-tetramethyl-3, 5-heptanedionate) dipropoxyzirconium, and the like as monomers.

< composition for forming silicon-containing film >

The present invention also provides a composition for forming a silicon-containing film, which contains the thermosetting silicon-containing compound of the present invention and a crosslinking catalyst. The following describes raw materials that can be incorporated into the silicon-containing film-forming composition of the present invention.

(crosslinking catalyst)

In the present invention, the silicon-containing film-forming composition may contain a crosslinking catalyst (Xc). Examples of the crosslinking catalyst to be blended include compounds represented by the following general formula (Xc 0).

LaHbA (Xc0)

Wherein L is lithium, sodium, potassium, rubidium, cesium, sulfonium, iodonium, phosphonium, or ammonium A is a non-nucleophilic counter ion A is an integer of 1 or more, b is an integer of 0 or 1 or more, and a + b is the valence number of the non-nucleophilic counter ion.

Specific examples of the crosslinking catalyst used in the present invention for (Xc0) include a sulfonium salt of the general formula (Xc-1), an iodonium salt of (Xc-2), a phosphonium salt of (Xc-3), an ammonium salt of (Xc-4), and an alkali metal salt.

Sulfonium salt (Xc-1), iodonium salt (Xc-2), and phosphonium salt (Xc-3) can be exemplified as follows.

[ solution 25]

In the formula, R204、R205、R206、R207Each represents a C1-12 linear, branched or cyclic alkyl group, alkenyl group, oxoalkyl group or oxoalkenyl group, a C6-20 substituted or unsubstituted aryl group, or a C7-12 aralkyl group or aryloxyalkyl group, and some or all of the hydrogen atoms of these groups may be substituted with alkoxy groups. And R is205And R206Or form a ring, when R forms a ring205、R206Each represents an alkylene group having 1 to 6 carbon atoms. A. the-Denotes a non-nucleophilic counter ion.

Further, the ammonium salt (Xc-4) can be exemplified as follows.

[ solution 26]

In the formula, R208、R209、R210、R211Is a sum of R204、R205、R206、R207Likewise, but may also be a hydrogen atom. R208And R209、R208And R209And R210Or form a ring, when R forms a ring208And R209And R208And R209And R210Represents an alkylene group having 3 to 10 carbon atoms.

R is as defined above204、R205、R206、R207、R208、R209、R210、R211Examples of the alkyl group include a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, a tert-butyl group, a pentyl group, a hexyl group, a heptyl group, an octyl group, a cyclopentyl group, a cyclohexyl group, a cycloheptyl group, a cyclopropylmethyl group, a 4-methylcyclohexyl group, a cyclohexylmethyl group, a norbornyl group, and an adamantyl group. Examples of the alkenyl group include vinyl, allyl, propenyl, butenyl, hexenyl, and cyclohexenyl. Examples of the oxoalkyl group include a 2-oxocyclopentyl group, a 2-oxocyclohexyl group and the like, and further include a 2-oxopropyl group, a 2-cyclopentyl-2-oxoethyl group, a 2-cyclohexyl-2-oxoethyl group, a 2- (4-methylcyclohexyl) -2-oxoethyl group and the like. Examples of the aryl group include phenyl and naphthyl, and alkoxyphenyl groups such as p-methoxyphenyl, m-methoxyphenyl, o-methoxyphenyl, ethoxyphenyl, p-tert-butoxyphenyl and m-tert-butoxyphenyl; alkylphenyl such as 2-methylphenyl, 3-methylphenyl, 4-methylphenyl, ethylphenyl, 4-tert-butylphenyl, 4-butylphenyl and dimethylphenyl; alkylnaphthyl groups such as methylnaphthyl and ethylnaphthyl; alkoxynaphthyl such as methoxynaphthyl and ethoxynaphthyl; dialkylnaphthyl groups such as dimethylnaphthyl and diethylnaphthyl; dialkoxynaphthyl groups such as dimethoxynaphthyl and diethoxynaphthyl. Examples of the aralkyl group include a benzyl group, a phenylethyl group, and a phenethyl group. Examples of the aryloxyalkyl group include a 2-phenyl-2-oxoethyl group, a 2- (1-naphthyl) -2-oxoethyl group, and a 2- (2-naphthyl) group) 2-aryl-2-oxoethyl such as-2-oxoethyl, and the like.

A-Examples of the non-nucleophilic counter ion include 1-valent ions such as hydroxide ion, formate ion, acetate ion, propionate ion, butyrate ion, valerate ion, hexanoate ion, heptanoate ion, octanoate ion, nonanoate ion, decanoate ion, oleate ion, stearate ion, linoleate ion, linolenate ion, benzoate ion, phthalate ion, isophthalate ion, terephthalate ion, salicylate ion, trifluoroacetate ion, monochloroacetate ion, dichloroacetate ion, trichloroacetate ion, fluoride ion, chloride ion, bromide ion, iodide ion, nitrate ion, nitrite ion, chlorate ion, bromate ion, methanesulfonate ion, p-toluenesulfonate ion, monomethylsulfate ion; oxalate ion, malonate ion, methylmalonate ion, ethylmalonate ion, propylmalonate ion, butylmalonate ion, dimethylmalonate ion, diethylmalonate ion, succinate ion, methylsuccinate ion, glutarate ion, adipate ion, itaconate ion, maleate ion, fumarate ion, citraconate ion, citrate ion, carbonate ion, sulfate ion, or the like having a valence of 1 or 2.

Examples of the alkali metal salt include 1-valent salts such as hydroxides, formates, acetates, propionates, butyrates, valerates, caproates, heptanoates, octanoates, nonanoates, decanoates, oleates, stearates, linolenoates, benzoates, phthalates, isophthalates, terephthalates, salicylates, trifluoroacetates, monochloroacetates, dichloroacetates, and trichloroacetates of lithium, sodium, potassium, cesium, magnesium, and calcium; oxalates, malonates, methylmalonates, ethylmalonates, propylmalonates, butylmalonates, dimethylmalonates, diethylmalonates, succinates, methylsuccinates, glutarates, adipates, itaconates, maleates, fumarates, citraconates, citrates, carbonates and the like having a valency of 1 or 2.

Sulfonium salt (Xc-1) specifically, there may be mentioned triphenylsulfonium formate, triphenylsulfonium acetate, triphenylsulfonium propionate, triphenylsulfonium butyrate, triphenylsulfonium benzoate, triphenylsulfonium phthalate, triphenylsulfonium isophthalate, triphenylsulfonium terephthalate, triphenylsulfonium salicylate, triphenylsulfonium triflate, triphenylsulfonium trifluoroacetate, triphenylsulfonium monochloroacetate, triphenylsulfonium dichloroacetate, triphenylsulfonium trichloroacetate, triphenylsulfonium hydroxide, triphenylsulfonium nitrate, triphenylsulfonium chloride, triphenylsulfonium bromide, triphenylsulfonium oxalate, triphenylsulfonium malonate, triphenylsulfonium methylmalonate, triphenylsulfonium ethylmalonate, triphenylsulfonium propylmalonate, triphenylsulfonium butylmalonate, triphenylsulfonium dimethylmalonate, triphenylsulfonium diethylmalonate, triphenylsulfonium succinate, triphenylsulfonium methylsuccinate, triphenylsulfonium dimethylmalonate, triphenylsulfonium acetate, triphenylsulfonium hydrogen chloride, triphenylsulfonium dimethylmalonate, triphenylsulfonium hydrogen chloride, triphenylsulfonium dimethylsuccinate, Glutaric acid triphenyl sulfonium, adipic acid triphenyl sulfonium, itaconic acid triphenyl sulfonium, maleic acid triphenyl sulfonium, fumaric acid triphenyl sulfonium, citraconic acid triphenyl sulfonium, citric acid triphenyl sulfonium, carbonic acid triphenyl sulfonium, oxalic acid bis triphenyl sulfonium, maleic acid bis triphenyl sulfonium, fumaric acid bis triphenyl sulfonium, citraconic acid bis triphenyl sulfonium, citric acid bis triphenyl sulfonium, carbonic acid bis triphenyl sulfonium, and the like.

Also, a specific example of a salt (Xc-2) can be diphenyl iodonium formate, diphenyl iodonium acetate, diphenyl iodonium propionate, diphenyl iodonium butyrate, diphenyl iodonium benzoate, diphenyl iodonium phthalate, diphenyl iodonium isophthalate, diphenyl iodonium terephthalate, diphenyl iodonium salicylate, diphenyl iodonium triflate, diphenyl iodonium trifluoroacetate, diphenyl iodonium monochloroacetate, diphenyl iodonium dichloroacetate, diphenyl iodonium trichloroacetate, diphenyl iodonium hydroxide, diphenyl iodonium nitrate, diphenyl iodonium chloride, diphenyl iodonium bromide, diphenyl iodonium iodide, diphenyl iodonium oxalate, diphenyl iodonium maleate, diphenyl iodonium fumarate, citraconic acid, diphenyl iodonium citrate, diphenyl iodonium carbonate, diphenyl iodonium oxalate, diphenyl iodonium bromide, diphenyl iodonium citrate, diphenyl iodonium iodide, diphenyl iodonium fumarate, diphenyl iodonium maleate, diphenyl iodonium iodide, diphenyl iodonium fumarate, diphenyl iodonium, Bisdiphenyliodonium carbonate, and the like.

Specific examples of the phosphonium salt (Xc-3) include tetraethylphosphonium formate, tetraethylphosphonium acetate, tetraethylphosphonium propionate, tetraethylphosphonium butyrate, tetraethylphosphonium benzoate, tetraethylphosphonium phthalate, tetraethylphosphonium isophthalate, tetraethylphosphonium terephthalate, tetraethylphosphonium salicylate, tetraethylphosphonium trifluoromethanesulfonate, tetraethylphosphonium trifluoroacetate, tetraethylphosphonium monochloroacetate, tetraethylphosphonium dichloroacetate, tetraethylphosphonium trichloroacetate, tetraethylphosphonium hydroxide, tetraethylphosphonium nitrate, tetraethylphosphonium chloride, tetraethylphosphonium bromide, tetraethylphosphonium iodide, tetraethylphosphonium oxalate, tetraethylphosphonium maleate, tetraethylphosphonium fumarate, tetraethylphosphonium citraconate, tetraethylphosphonium citrate, tetraethylphosphonium carbonate, bistetraethylammonium oxalate, bistetraethylphosphonium maleate, bistetraethylphosphonium fumarate, bistetraethylphosphonium citrate, and, Bis-tetraethylphosphonium carbonate, tetraphenylphosphonium formate, tetraphenylphosphonium acetate, tetraphenylphosphonium propionate, tetraphenylphosphonium butyrate, tetraphenylphosphonium benzoate, tetraphenylphosphonium phthalate, tetraphenylphosphonium isophthalate, tetraphenylphosphonium terephthalate, tetraphenylphosphonium salicylate, tetraphenylphosphonium trifluoromethanesulfonate, tetraphenylphosphonium trifluoroacetate, tetraphenylphosphonium monochloroacetate, tetraphenylphosphonium dichloroacetate, tetraphenylphosphonium trichloroacetate, tetraphenylphosphonium hydroxide, tetraphenylphosphonium nitrate, tetraphenylphosphonium chloride, tetraphenylphosphonium bromide, tetraphenylphosphonium iodide, tetraphenylphosphonium oxalate, tetraphenylphosphonium maleate, tetraphenylphosphonium fumarate, tetraphenylphosphonium citraconate, tetraphenylphosphonium citrate, tetraphenylphosphonium carbonate, bis tetraphenylphosphonium oxalate, bis tetraphenylphosphonium maleate, bis tetraphenylphosphonium fumarate, bis tetraphenylphosphonium citraconate, bis tetraphenylphosphonium citrate, bis tetraphenylphosphonium carbonate, and the like.

Specific examples of the ammonium salt (Xc-4) include tetramethylammonium formate, tetramethylammonium acetate, tetramethylammonium propionate, tetramethylammonium butyrate, tetramethylammonium benzoate, tetramethylammonium phthalate, tetramethylammonium isophthalate, tetramethylammonium terephthalate, tetramethylammonium salicylate, tetramethylammonium trifluoromethanesulfonate, tetramethylammonium trifluoroacetate, tetramethylammonium monochloroacetate, tetramethylammonium dichloroacetate, tetramethylammonium trichloroacetate, tetramethylammonium hydroxide, tetramethylammonium nitrate, tetramethylammonium chloride, tetramethylammonium bromide, tetramethylammonium iodide, tetramethylammonium monomethylsulfate, tetramethylammonium oxalate, tetramethylammonium malonate, tetramethylammonium maleate, tetramethylammonium fumarate, tetramethylammonium citraconate, tetramethylammonium citrate, tetramethylammonium carbonate, bistetramethylammonium oxalate, bistetramethylammonium malonate, bis-tetramethylammonium malonate, and the like, Bis-tetramethylammonium maleate, bis-tetramethylammonium fumarate, bis-tetramethylammonium citraconate, bis-tetramethylammonium citrate, bis-tetramethylammonium carbonate, tetraethylammonium formate, tetraethylammonium acetate, tetraethylammonium propionate, tetraethylammonium butyrate, tetraethylammonium benzoate, tetraethylammonium phthalate, tetraethylammonium isophthalate, tetraethylammonium terephthalate, tetraethylammonium salicylate, tetraethylammonium triflate, tetraethylammonium trifluoroacetate, tetraethylammonium monochloroacetate, tetraethylammonium dichloroacetate, tetraethylammonium trichloroacetate, tetraethylammonium hydroxide, tetraethylammonium nitrate, tetraethylammonium chloride, tetraethylammonium bromide, tetraethylammonium iodide, tetraethylammonium monomethylsulfate, tetraethylammonium oxalate, tetraethylammonium malonate, tetraethylammonium maleate, tetraethylammonium fumarate, tetraethylammonium citraconate, tetraethylammonium citrate, Tetraethylammonium carbonate, bistetraethylammonium oxalate, bistetraethylammonium malonate, bistetraethylammonium maleate, bistetraethylammonium fumarate, bistetraethylammonium citraconate, bistetraethylammonium citrate, bistetraethylammonium carbonate, tetrapropylammonium formate, tetrapropylammonium acetate, tetrapropylammonium propionate, tetrapropylammonium butyrate, tetrapropylammonium benzoate, tetrapropylammonium phthalate, tetrapropylammonium isophthalate, tetrapropylammonium terephthalate, tetrapropylammonium salicylate, tetrapropylammonium triflate, tetrapropylammonium trifluoroacetate, tetrapropylammonium monochloroacetate, tetrapropylammonium dichloroacetate, tetrapropylammonium trichloroacetate, tetrapropylammonium hydroxide, tetrapropylammonium nitrate, tetrapropylammonium chloride, tetrapropylammonium bromide, tetrapropylammonium iodide, tetrapropylammonium monomethylsulfate, tetrapropylammonium oxalate, tetrapropylammonium malonate, tetrapropylammonium maleate, Tetrapropylammonium fumarate, tetrapropylammonium citraconate, tetrapropylammonium citrate, tetrapropylammonium carbonate, bistetrapropylammonium oxalate, bistetrapropylammonium malonate, bistetrapropylammonium maleate, bistetrapropylammonium fumarate, bistetrapropylammonium citraconate, bistetrapropylammonium citrate, bistetrapropylammonium carbonate, tetrabutylammonium formate, tetrabutylammonium acetate, tetrabutylammonium propionate, tetrabutylammonium butyrate, tetrabutylammonium benzoate, tetrabutylammonium phthalate, tetrabutylammonium isophthalate, tetrabutylammonium terephthalate, tetrabutylammonium salicylate, tetrabutylammonium trifluoromethanesulfonate, tetrabutylammonium trifluoroacetate, tetrabutylammonium monochloroacetate, tetrabutylammonium dichloroacetate, tetrabutylammonium trichloroacetate, tetrabutylammonium hydroxide, tetrabutylammonium nitrate, tetrabutylammonium chloride, tetrabutylammonium bromide, tetrabutylammonium iodide, tetrabutylammonium methanesulfonate, tetrabutylammonium monomethylsulfate, tetrabutylammonium monochloroacetate, tetrabutylammonium sulfate, ammonium sulfate, tetrabutylammonium sulfate, ammonium sulfate, Tetrabutylammonium oxalate, tetrabutylammonium malonate, tetrabutylammonium maleate, tetrabutylammonium fumarate, tetrabutylammonium citraconate, tetrabutylammonium citrate, tetrabutylammonium carbonate, trimethylphenylammonium formate, trimethylphenylammonium acetate, trimethylphenylammonium propionate, trimethylphenylammonium butyrate, trimethylphenylammonium benzoate, trimethylphenylammonium phthalate, trimethylphenylammonium isophthalate, trimethylphenylammonium terephthalate, trimethylphenylammonium salicylate, trimethylphenylammonium trifluoromethanesulfonate, trimethylphenylammonium trifluoroacetate, trimethylphenylammonium monochloroacetate, trimethylphenylammonium dichloroacetate, trimethylphenylammonium trichloroacetate, tetrabutylammonium citraconate, tetrabutylammonium citrate, tetrabutylammonium hydrogen carbonate, ammonium hydrogen carbonate, trimethylammonium propionate, trimethylammonium butyrate, trimethylphenylammonium benzoate, trimethylphenylammonium phthalate, trimethylphenyl, Trimethylphenylammonium hydroxide, trimethylphenylammonium nitrate, trimethylphenylammonium chloride, trimethylphenylammonium bromide, trimethylphenylammonium iodide, trimethylphenylammonium methanesulfonate, trimethylphenylammonium monomethylsulfate, trimethylphenylammonium oxalate, trimethylphenylammonium malonate, trimethylphenylammonium maleate, trimethylphenylammonium fumarate, trimethylphenylammonium citraconate, trimethylphenylammonium citrate, trimethylphenylammonium carbonate, bistrimethylphenylammonium oxalate, bistrimethylphenylammonium malonate, bistrimethylphenylammonium maleate, bistrimethylphenylammonium fumarate, bistrimethylphenylammonium citraconate, bistrimethylphenylammonium citrate, bistrimethylphenylammonium carbonate, triethylphenylammonium formate, triethylphenylammonium acetate, triethylphenylammonium propionate, triethylphenylammonium butyrate, triethylphenylammonium benzoate, tetramethylphenylammonium hydrogen chloride, trimethylphenylammonium maleate, trimethylphenyla, Triethylphenylammonium phthalate, triethylphenylammonium isophthalate, triethylphenylammonium terephthalate, triethylphenylammonium salicylate, triethylphenylammonium trifluoromethanesulfonate, triethylphenylammonium trifluoroacetate, triethylphenylammonium monochloroacetate, triethylphenylammonium dichloroacetate, triethylphenylammonium trichloroacetate, triethylphenylammonium hydroxide, triethylphenylammonium nitrate, triethylphenylammonium chloride, triethylphenylammonium bromide, triethylphenylammonium iodide, triethylphenylammonium methanesulfonate, triethylphenylammonium monomethylsulfate, triethylphenylammonium oxalate, triethylphenylammonium malonate, triethylphenylammonium maleate, triethylphenylammonium fumarate, triethylphenylammonium citraconate, triethylphenylammonium citrate, triethylphenylammonium carbonate, bistriethylphenylammonium oxalate, bistriethylphenylammonium malonate, triethylphenylammonium malonate, ammonium maleate, triethylphenylammonium fumarate, triethylphenylammonium citrate, triethylphenylammonium carbonate, bistrieylphenylammonium oxalate, bistrieylphenylammonium malonate, and the like, Bistrieylphenylammonium maleate, bistrieylphenylammonium fumarate, bistrieylphenylammonium citraconate, bistrieylphenylammonium citrate, bistrieylphenylammonium carbonate, benzyldimethylphenylammonium formate, benzyldimethylphenylammonium acetate, benzyldimethylphenylammonium propionate, benzyldimethylphenylammonium butyrate, benzyldimethylphenylammonium benzoate, benzyldimethylphenylammonium phthalate, benzyldimethylphenylammonium isophthalate, benzyldimethylphenylammonium terephthalate, benzyldimethylphenylammonium salicylate, benzyldimethylphenylammonium trifluoromethanesulfonate, benzyldimethylphenylammonium trifluoroacetate, benzyldimethylphenylammonium monochloroacetate, benzyldimethylphenylammonium dichloroacetate, benzyldimethylphenylammonium trichloroacetate, benzyldimethylphenylammonium hydroxide, benzyldimethylphenylammonium nitrate, benzyldimethylphenylammonium chloride, benzyldimethylphenylammonium bromide, benzyldimethylphenylammonium iodide, benzyldimethylphenylammonium methanesulfonate, benzyldimethylphenylammonium monomethylsulfate, benzyldimethylphenylammonium oxalate, benzyldimethylphenylammonium malonate, benzyldimethylphenylammonium maleate, benzyldimethylphenylammonium fumarate, benzyldimethylphenylammonium citraconate, benzyldimethylphenylammonium citrate, benzyldimethylphenylammonium carbonate, dibenzyldimethylphenylammonium oxalate, dibenzyldimethylphenylammonium malonate, dibenzyldimethylphenylammonium maleate, dibenzyldimethylphenylammonium fumarate, dibenzyldimethylphenylammonium citraconate, dibenzyldimethylphenylammonium citrate, dibenzyldimethylphenylammonium carbonate, and the like.

Examples of the alkali metal salt include lithium formate, lithium acetate, lithium propionate, lithium butyrate, lithium benzoate, lithium phthalate, lithium isophthalate, lithium terephthalate, lithium salicylate, lithium trifluoromethanesulfonate, lithium trifluoroacetate, lithium monochloroacetate, lithium dichloroacetate, lithium trichloroacetate, lithium hydroxide, lithium nitrate, lithium chloride, lithium bromide, lithium iodide, lithium methanesulfonate, lithium hydrogen oxalate, lithium hydrogen malonate, lithium hydrogen maleate, lithium hydrogen fumarate, lithium hydrogen citraconate, lithium hydrogen citrate, lithium hydrogen carbonate, lithium oxalate, lithium malonate, lithium maleate, lithium fumarate, lithium conate, lithium citrate, lithium carbonate, sodium formate, sodium acetate, sodium propionate, sodium butyrate, sodium benzoate, sodium phthalate, sodium isophthalate, sodium terephthalate, sodium salicylate, sodium trifluoromethanesulfonate, sodium trifluoroacetate, sodium monochloroacetate, sodium dichloroacetate, sodium trichloroacetate, Sodium hydroxide, sodium nitrate, sodium chloride, sodium bromide, sodium iodide, sodium methanesulfonate, sodium hydrogen oxalate, sodium hydrogen malonate, sodium hydrogen maleate, sodium hydrogen fumarate, sodium citraconate, sodium hydrogen citrate, sodium bicarbonate, sodium oxalate, sodium malonate, sodium maleate, sodium fumarate, sodium citraconate, sodium citrate, sodium carbonate, potassium formate, potassium acetate, potassium propionate, potassium butyrate, potassium benzoate, potassium phthalate, potassium isophthalate, potassium terephthalate, potassium salicylate, potassium trifluoromethanesulfonate, potassium trifluoroacetate, potassium monochloroacetate, potassium dichloroacetate, potassium trichloroacetate, potassium hydroxide, potassium nitrate, potassium chloride, potassium bromide, potassium iodide, potassium methanesulfonate, potassium hydrogen oxalate, potassium hydrogen malonate, potassium hydrogen maleate, potassium hydrogen fumarate, potassium citraconate, potassium hydrogen citrate, potassium hydrogen bicarbonate, potassium oxalate, potassium malonate, potassium maleate, Potassium fumarate, potassium citraconate, potassium citrate, potassium carbonate, and the like.

In the present invention, polysiloxane (Xc-10) having any one of a sulfonium salt, an iodonium salt, a phosphonium salt, and an ammonium salt as part of the structure may also be incorporated as crosslinking catalyst (Xc) in the silicon-containing film-forming composition.

As the raw material for producing (Xc-10) used herein, a compound represented by the following general formula (Xm) can be used.

R1A A1R2A A2R3A A3Si(OR0A)(4-A1-A2-A3)(Xm)

In the formula, R0AIs a C1-6 hydrocarbon group, R1A、R2A、R3AAmong them, at least one is an organic group having an ammonium salt, a sulfonium salt, a phosphonium salt, or an iodonium salt, and the others are hydrogen atoms or 1-valent organic groups having 1 to 30 carbon atoms. A1, A2 and A3 are 0 or 1, and 1 is more than or equal to A1+ A2+ A3 is more than or equal to 3.

Herein, R is0AExamples thereof include methyl group, ethyl group, n-propyl group, isopropyl group, n-butyl group, isobutyl group, sec-butyl group, tert-butyl group, n-pentyl group, cyclopentyl group, n-hexyl group, cyclohexyl group and phenyl group.

Xm is a hydrolyzable silicon compound having a sulfonium salt as a part of the structure, for example, and the following general formula (Xm-1) can be exemplified.

[ solution 27]

In the formula, RSA1、RSA2Each represents a C1-20 linear, branched or cyclic alkyl group, alkenyl group, oxoalkyl group or oxoalkenyl group, a C6-20 substituted or unsubstituted aryl group, or a C7-20 aralkyl or aryloxyalkyl group, and some or all of the hydrogen atoms of these groups may be substituted by 1-valent organic groups such as alkoxy groups, amino groups, alkylamino groups, halogen atoms, etc. And R isSA1And RSA2Or may form a ring together with the sulfur atom to which they are bonded, when R forms a ringSA1、RSA2Each represents an alkylene group having 1 to 6 carbon atoms. RSA3Is a C1-20 straight chain or branched or cyclic alkylene, alkenylene, C6-E20, and some or all of the hydrogen atoms of these groups may be substituted with 2-valent organic groups such as alkoxy, amino, alkylamino, etc.

X-There may be mentioned hydroxide ion, fluoride ion, chloride ion, bromide ion, iodide ion, formate ion, acetate ion, propionate ion, butyrate ion, valerate ion, hexanoate ion, heptanoate ion, octanoate ion, nonanoate ion, decanoate ion, oleate ion, stearate ion, linseed oleate ion, benzoate ion, p-methylbenzoate ion, p-tert-butylbenzoate ion, phthalate ion, isophthalate ion, terephthalate ion, salicylate ion, trifluoroacetate ion, monochloroacetate ion, dichloroacetate ion, trichloroacetate ion, nitrate ion, chlorate ion, perchlorate ion, bromate ion, iodate ion, methanesulfonate ion, benzenesulfonate ion, toluenesulfonate ion, tosylate ion, and the like, Monomethyl sulfate ion, hydrogen sulfate ion, oxalate ion, malonate ion, methyl malonate ion, ethyl malonate ion, propyl malonate ion, butyl malonate ion, dimethyl malonate ion, diethyl malonate ion, succinate ion, methyl succinate ion, glutarate ion, adipate ion, itaconate ion, maleate ion, fumarate ion, citraconate ion, citrate ion, carbonate ion, and the like.

Specific examples of the compounds represented by the above general formula (Xm-1) include the following.

[ solution 28]

For example, the following general formula (Xm-2) can be exemplified as a hydrolyzable silicon compound having an iodonium salt as a part of the structure.

[ solution 29]

In the formula, RIA1Represents a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, an alkenyl group, an oxoalkyl group or an oxoalkenyl group, a substituted or unsubstituted aryl group having 6 to 20 carbon atoms, or an aralkyl group or an aryloxyalkyl group having 7 to 20 carbon atoms, and some or all of the hydrogen atoms of these groups may be substituted with a 1-valent organic group such as an alkoxy group, an amino group, an alkylamino group, a halogen atom, or the like. RIA2Is a C1-20 linear, branched or cyclic alkylene group, alkenylene group, C6-20 substituted or unsubstituted arylene group, and some or all of the hydrogen atoms of these groups may be substituted with a 2-valent organic group such as alkoxy, amino, alkylamino, etc. X-As before.

Specific examples of the compounds represented by the above general formula (Xm-2) include the following.

[ solution 30]

For example, the hydrolyzable silicon compound having a phosphonium salt as a part of the structure can be exemplified by the following general formula (Xm-3).

[ solution 31]

In the formula, RPA1、RPA2、RPA3Each represents a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, an alkenyl group, an oxoalkyl group or an oxoalkenyl group, a substituted or unsubstituted aryl group having 6 to 20 carbon atoms, or an aralkyl group or an aryloxyalkyl group having 7 to 20 carbon atoms, and some or all of the hydrogen atoms of these groups may be substituted by an alkoxy group, an amino group, an alkylamino group, a halogen atom or the like. And R isPA1And RPA2Or may form a ring together with the phosphorus atom to which they are bonded, when R forms a ringPA1、RPA2Each represents an alkylene group having 1 to 6 carbon atoms. RPA4Is 1 c in carbon number20 is a linear, branched or cyclic alkylene group, alkenylene group or C6-20 substituted or unsubstituted arylene group, and some or all of the hydrogen atoms of these groups may be substituted by alkoxy, amino, alkylamino, or the like. X-As before.

Specific examples of the compounds represented by the above general formula (Xm-3) include the following.

[ solution 32]

For example, the hydrolyzable silicon compound having an ammonium salt as a part of the structure can be exemplified by the following general formula (Xm-4).

[ solution 33]

In the formula, RNA1、RNA2、RNA3Each represents hydrogen, a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, an alkenyl group, an oxoalkyl group or an oxoalkenyl group, a substituted or unsubstituted aryl group having 6 to 20 carbon atoms, or an aralkyl group or aryloxyalkyl group having 7 to 20 carbon atoms, and some or all of the hydrogen atoms of these groups may be substituted by a 1-valent organic group such as an alkoxy group, an amino group, or an alkylamino group. And R isNA1And RNA2Or may form a ring together with the nitrogen atom to which they are bonded, when R forms a ringNA1、RNA2Each represents an alkylene group having 1 to 6 carbon atoms, a nitrogen-containing cyclic heterocycle, or a heteroaromatic ring. RNA4Is a C1-20 linear, branched or cyclic alkylene group, alkenylene group, C6-20 substituted or unsubstituted arylene group, and some or all of the hydrogen atoms of these groups may be substituted with a 2-valent organic group such as alkoxy, amino, alkylamino, etc., R is a C2-valent organic groupNA1And RNA2、RNA1And RNA4N when forming a cyclic structure and containing no unsaturated nitrogenNA30, except that nNA3=1。X-As before.

Specific examples of the compounds represented by the above general formula (Xm-4) include the following.

[ chemical 34]

[ solution 35]

[ solution 36]

[ solution 37]

[ solution 38]

[ solution 39]

[ solution 40]

The hydrolyzable silicon compound used together with (Xm-1), (Xm-2), (Xm-3) and (Xm-4) for the production of (Xc-10) is exemplified by the monomer (Sm).

Thus, 1 or more of the monomers (Xm-1), (Xm-2), (Xm-3) and (Xm-4) and 1 or more of (Sm) can be selected and mixed before or during the reaction to prepare the reaction raw material for forming (Xc-10). The reaction conditions may be the same as those of the method for synthesizing the silicon-containing compound (Sx).

The molecular weight of the silicon-containing compound (Xc-10) obtained can be adjusted not only by selecting the monomer but also by controlling the reaction conditions during polymerization, and when the silicon-containing compound (Xc-10) having a weight average molecular weight of more than 100,000 is used, the silicon-containing compound (Xc-10) having a weight average molecular weight of 100,000 or less is preferably used, more preferably the silicon-containing compound (Xc-10) having a weight average molecular weight of 200 to 50,000 is used, and even more preferably the silicon-containing compound (Xc-10) having a weight average molecular weight of 300 to 30,000 is used, in some cases, the occurrence of foreign matter and coating unevenness may occur. The data on the weight average molecular weight are obtained by Gel Permeation Chromatography (GPC) using RI as a detector and tetrahydrofuran as a solvent, and the molecular weight is expressed in terms of polystyrene using polystyrene as a standard substance.

The crosslinking catalysts (Xc-1), (Xc-2), (Xc-3), (Xc-4) and (Xc-10) may be used singly or in combination of 1 or more. The amount of the crosslinking catalyst to be added is preferably 0.01 to 50 parts by mass, more preferably 0.1 to 40 parts by mass, based on 100 parts by mass of the base polymer (e.g., the silicon-containing compound (Sx) obtained by the above-mentioned method).

The silicon-containing film-forming composition of the present invention may further contain the following raw materials.

(organic acid)

In order to improve the stability of the silicon-containing film-forming composition of the present invention, it is preferable to add an organic acid having 1 or 2 or more valences and 1 to 30 carbon atoms. Examples of the acid to be added at this time include formic acid, acetic acid, propionic acid, butyric acid, valeric acid, caproic acid, enanthic acid, caprylic acid, pelargonic acid, capric acid, oleic acid, stearic acid, linoleic acid, linolenic acid, benzoic acid, phthalic acid, isophthalic acid, terephthalic acid, salicylic acid, trifluoroacetic acid, monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, oxalic acid, malonic acid, methylmalonic acid, ethylmalonic acid, propylmalonic acid, butylmalonic acid, dimethylmalonic acid, diethylmalonic acid, succinic acid, methylsuccinic acid, glutaric acid, adipic acid, itaconic acid, maleic acid, fumaric acid, citraconic acid, citric acid, and the like. Particularly preferred are oxalic acid, maleic acid, formic acid, acetic acid, propionic acid, citric acid, and the like. In order to maintain stability, 2 or more kinds of acids may be mixed and used. The amount of the silicon compound to be added is 0.001 to 25 parts by mass, preferably 0.01 to 15 parts by mass, more preferably 0.1 to 5 parts by mass, based on 100 parts by mass of silicon contained in the composition.

Alternatively, the organic acid may be blended so that the pH of the composition is preferably 0 or more and 7 or less, more preferably 0.3 or less and 6.5 or less, and even more preferably 0.5 or less and 6 or less.

(Water)

Water may also be added to the composition. When water is added, the polysiloxane compound in the composition is hydrated, and thus the lithographic performance is improved. The content of water in the solvent component of the composition is more than 0 mass% and less than 50 mass%, particularly preferably 0.3 to 30 mass%, more preferably 0.5 to 20 mass%. If the amount of addition is less than 50% by mass, the silicon-containing intermediate film obtained using the silicon-containing film-forming composition of the present invention will not be degraded in uniformity, and no cratering will occur. On the other hand, if the amount of addition exceeds 0 mass%, the lithography performance is not likely to be deteriorated.

The amount of the total solvent containing water to be used is preferably 100 to 100,000 parts by mass, particularly preferably 200 to 50,000 parts by mass, based on 100 parts by mass of the base polymer, i.e., the polysiloxane compound (silicon-containing compound (Sx)).

(photoacid generators)

The present invention may also include a photoacid generator in the composition. Specifically, the photoacid generator used in the present invention may be added with the materials described in paragraphs (0160) to (0179) of Japanese patent laid-open No. 2009-126940.

The silicon-containing film-forming composition of the present invention (for example, a silicon-containing resist underlayer film-forming composition for EUV lithography) may contain 1 or 2 or more of the compounds represented by the following general formula (P-0) (compounds having an anion portion and a cation portion in one molecule).

[ solution 41]

In the formula, R300Substituted by 1 or 2 or more fluorine atomsA 2-valent organic radical, R301And R302Each independently represents a C1-20 linear, branched or cyclic 1-valent hydrocarbon group which may be substituted with a heteroatom or may have a heteroatom inserted therein. R303Represents a C1-20 linear, branched or cyclic 2-valent hydrocarbon group which may be substituted with a heteroatom or may be interrupted by a heteroatom. And R is301And R302Or R301And R303May also be bonded to each other and form a ring together with the sulfur atom in the formula L304Represents a single bond or a C1-20 linear, branched or cyclic 2-valent hydrocarbon group which may be substituted with a heteroatom or may be interrupted by a heteroatom.

In the above general formula (P-0), R300Is a 2-valent organic group substituted with 1 or 2 or more fluorine atoms. The above-mentioned 2-valent organic group represents, for example, a 2-valent hydrocarbon group such as a linear, branched or cyclic alkylene group, alkenylene group or arylene group having 1 to 20 carbon atoms. R300Specifically, an organic group represented by the following formula can be exemplified.

[ solution 42]

[ solution 43]

In addition, in the above formula, (SO)3 -) Is used to represent the sum SO in the above formula (P-0)3 -The bonding position of the base. And also (R)350) Is used to indicate that L is present between the cationic moiety and the cationic moiety in the above general formula (P-0)304To be bonded to R300The bonding position of the moiety (c) in (a).

R301And R302Each independently represents a linear, branched or cyclic 1-valent hydrocarbon group having 1 to 20 carbon atoms which may be substituted with or interrupted by a heteroatom, and represents, for example, an alkyl group, an alkenyl group, an aryl group or an aralkyl group. Examples of alkyl groups include: methyl, ethyl, propyl, isopropyl, n-butyl, sec-butyl, tert-butyl,t-butyl, pentyl, hexyl, heptyl, octyl, cyclopentyl, cyclohexyl, cycloheptyl, cyclopropylmethyl, 4-methylcyclohexyl, cyclohexylmethyl, norbornyl, adamantyl, and the like. Examples of alkenyl groups include: vinyl, allyl, propenyl, butenyl, hexenyl, cyclohexenyl, and the like. Examples of the oxoalkyl group include: 2-oxocyclopentyl group, 2-oxocyclohexyl group, 2-oxopropyl group, 2-oxoethyl group, 2-cyclopentyl-2-oxoethyl group, 2-cyclohexyl-2-oxoethyl group, 2- (4-methylcyclohexyl) -2-oxoethyl group and the like. Examples of aryl groups include: phenyl, naphthyl, thienyl, etc., or alkoxyphenyl such as 4-hydroxyphenyl, 4-methoxyphenyl, 3-methoxyphenyl, 2-methoxyphenyl, 4-ethoxyphenyl, 4-tert-butoxyphenyl, 3-tert-butoxyphenyl, etc.; alkylphenyl such as 2-methylphenyl, 3-methylphenyl, 4-ethylphenyl, 4-tert-butylphenyl, 4-n-butylphenyl and 2, 4-dimethylphenyl; alkylnaphthyl groups such as methylnaphthyl and ethylnaphthyl; alkoxynaphthyl such as methoxynaphthyl, ethoxynaphthyl, n-propoxytenyl and n-butoxynaphthyl; dialkylnaphthyl groups such as dimethylnaphthyl and diethylnaphthyl; dialkoxynaphthyl groups such as dimethoxynaphthyl and diethoxynaphthyl. As the aralkyl group, there can be mentioned: benzyl, 1-phenylethyl, 2-phenylethyl and the like. Examples of the aryloxyalkyl group include: 2-aryl-2-oxoethyl groups such as 2-phenyl-2-oxoethyl group, 2- (1-naphthyl) -2-oxoethyl group, and 2- (2-naphthyl) -2-oxoethyl group. And R is301And R302They may be bonded to each other and form a ring together with the sulfur atom in the formula, and in this case, a group represented by the following formula is exemplified.

[ solution 44]

In the above general formula (P-0), R303Represents a C1-20 linear, branched or cyclic 2-valent hydrocarbon group which may be substituted with or interrupted by a heteroatom. R303Specific examples thereof include: methylene, ethylene, propane-1, 3-diyl, butane-1, 4-diyl, pentane-1, 5-diyl, hexane-1, 6-diyl, heptane-1, 7-diyl, octane-1, 8-diyl, nonane-1, 9-diyl, decane-Straight-chain alkanediyl groups such as 1, 10-diyl group, undecane-1, 11-diyl group, dodecane-1, 12-diyl group, tridecane-1, 13-diyl group, tetradecane-1, 14-diyl group, pentadecane-1, 15-diyl group, hexadecane-1, 16-diyl group and heptadecane-1, 17-diyl group; saturated cyclic hydrocarbon groups such as cyclopentanediyl, cyclohexanediyl, norbornanediyl and adamantanediyl groups; unsaturated cyclic hydrocarbon groups such as phenylene and naphthylene. Some of the hydrogen atoms of these groups may be substituted with an alkyl group such as a methyl group, an ethyl group, a propyl group, an n-butyl group, or a tert-butyl group. Or may be substituted with a hetero atom such as an oxygen atom, a sulfur atom, a nitrogen atom, a halogen atom or the like, and as a result, a hydroxyl group, a cyano group, a carbonyl group, an ether bond, an ester bond, a sulfonate bond, a carbonate bond, a lactone ring, a sultone ring, a carboxylic anhydride, a haloalkyl group or the like may be formed. And R is301And R303They may be bonded to each other and form a ring together with the sulfur atom in the formula, and in this case, a group represented by the following formula is exemplified.

[ solution 45]

In the above general formula (P-0), L304L represents a single bond, or a C1-20 linear, branched or cyclic 2-valent hydrocarbon group which may be substituted with or interrupted by a heteroatom304Specific examples thereof include: linear alkanediyl groups such as methylene, ethylene, propane-1, 3-diyl, butane-1, 4-diyl, pentane-1, 5-diyl, hexane-1, 6-diyl, heptane-1, 7-diyl, octane-1, 8-diyl, nonane-1, 9-diyl, decane-1, 10-diyl, undecane-1, 11-diyl, dodecane-1, 12-diyl, tridecane-1, 13-diyl, tetradecane-1, 14-diyl, pentadecane-1, 15-diyl, hexadecane-1, 16-diyl and heptadecane-1, 17-diyl; saturated cyclic hydrocarbon groups such as cyclopentanediyl, cyclohexanediyl, norbornanediyl and adamantanediyl groups; unsaturated cyclic hydrocarbon groups such as phenylene and naphthylene. Some of the hydrogen atoms of these groups may be substituted with an alkyl group such as a methyl group, an ethyl group, a propyl group, an n-butyl group, or a tert-butyl group. Or may be substituted with a hetero atom such as an oxygen atom, a sulfur atom, a nitrogen atom, a halogen atom or the like, and as a result, a hydroxyl group, a cyano group, a carbonyl group, an ether may be formedA bond, an ester bond, a sulfonate bond, a carbonate bond, a lactone ring, a sultone ring, a carboxylic anhydride, a haloalkyl group, and the like.

The photoacid generator represented by the general formula (P-0) is preferably represented by the following general formula (P-1).

[ solution 46]

In the formula, X305、X306Each independently represents any one of a hydrogen atom, a fluorine atom and a trifluoromethyl group, but not all are hydrogen atoms. n is307Represents an integer of 1 to 4. R301、R302、R303And L304The same as described above.

The photoacid generator represented by the general formula (P-0) is more preferably represented by the following general formula (P-1-1).

[ solution 47]

In the above general formula (P-1-1), R308、R309And R310Each independently represents a hydrogen atom, or a C1-20 linear, branched or cyclic 1-valent hydrocarbon group which may be interrupted by a heteroatom. Specific examples thereof include methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group, tert-pentyl group, n-hexyl group, n-octyl group, n-nonyl group, n-decyl group, cyclopentyl group, cyclohexyl group, 2-ethylhexyl group, cyclopentylmethyl group, cyclopentylethyl group, cyclopentylbutyl group, cyclohexylmethyl group, cyclohexylethyl group, cyclohexylbutyl group, norbornyl group, oxanorbornyl group, tricyclo [5.2.1.0 ] group2,6]Decyl, adamantyl, and the like. Some of the hydrogen atoms of these groups may be replaced with hetero atoms such as oxygen atom, sulfur atom, nitrogen atom, and halogen atom, or hetero atoms such as oxygen atom, sulfur atom, and nitrogen atom may be inserted, and as a result, a hydroxyl group, a cyano group, a carbonyl group, an ether bond, an ester bond, a sulfonate bond, a carbonate bond, a lactone ring, a sultone ring, a carboxylic anhydride, a haloalkyl group, or the like may be formed or inserted. Preferably methyl, methoxyAlkyl, tert-butyl, tert-butoxy.

In the above general formula (P-1-1), n308And n309Each represents an integer of 0 to 5, preferably 0 or 1. n is310Represents an integer of 0 to 4, preferably 0 or 2. L304、X305、X306、n307As described above.

The photoacid generator represented by the general formula (P-0) is more preferably represented by the following general formula (P-1-2).

[ solution 48]

In the above general formula (P-1-2), A311Represents a hydrogen atom or a trifluoromethyl group. R308、R309、R310、n308、n309、n310、L304As described above.

More specifically, the photoacid generators represented by the general formulae (P-0), (P-1-1), and (P-1-2) can be represented by the following structures. However, the photoacid generator is not limited thereto.

[ solution 49]

[ solution 50]

[ solution 51]

[ solution 52]

[ Hua 53]

[ solution 54]

The amount of the compound represented by the general formula (P-0) is 0.001 to 40 parts by mass, preferably 0.1 to 40 parts by mass, more preferably 0.1 to 20 parts by mass, based on 100 parts by mass of the thermosetting silicon-containing compound (thermally crosslinkable polysiloxane).

(stabilizers)

In addition, the present invention may add a stabilizer to the composition. The stabilizer may be added with 1-or 2-or more-membered alcohol having cyclic ether as a substituent. In particular, the stability of the silicon-containing film-forming composition of the present invention can be improved by adding the stabilizer described in the paragraphs (0181) to (0182) of Japanese patent laid-open No. 2009-126940.

(surfactant)

In addition, the present invention may incorporate a surfactant in the composition as needed. Specifically, the surfactant can be added with the materials described in the paragraph of Japanese patent laid-open No. 2009-126940 (0185).

(other Components)

In addition, the invention can also add a high boiling point solvent with a boiling point of more than 180 degrees into the composition according to the requirement. Examples of the high boiling point solvent include 1-octanol, 2-ethylhexanol, 1-nonanol, 1-decanol, 1-undecanol, ethylene glycol, 1, 2-propanediol, 1, 3-butanediol, 2, 4-pentanediol, 2-methyl-2, 4-pentanediol, 2, 5-hexanediol, 2, 4-heptanediol, 2-ethyl-1, 3-hexanediol, diethylene glycol, dipropylene glycol, triethylene glycol, tripropylene glycol, glycerol, γ -butyrolactone, tripropylene glycol monomethyl ether, diacetone alcohol, n-nonyl acetate, ethylene glycol monoethyl ether acetate, 1, 2-diacetoxyethane, 1-acetoxy-2-methoxyethane, 1, 2-diacetoxypropane, diethylene glycol monomethyl ether acetate, Diethylene glycol monoethyl ether acetate, diethylene glycol mono-n-butyl ether acetate, propylene glycol monomethyl ether acetate, propylene glycol monopropyl ether acetate, propylene glycol monobutyl ether acetate, dipropylene glycol monomethyl ether acetate, dipropylene glycol monoethyl ether acetate, and the like.

[ Pattern Forming method ]

Further, the present invention provides a pattern forming method, comprising the steps of:

(1) forming an organic underlayer film on a workpiece, forming a silicon-containing intermediate film thereon from the silicon-containing film-forming composition of the present invention, and forming an upper resist film thereon,

(2) exposing and developing the upper layer resist film to form an upper layer resist pattern,

(3) forming an organic lower layer film pattern by dry etching while using the upper layer resist pattern as a mask to transfer the upper layer resist pattern to a silicon-containing intermediate film, using the silicon-containing intermediate film to which the upper layer resist pattern is transferred as a mask, leaving a part of the silicon-containing intermediate film on the organic lower layer film, and transferring the upper layer resist pattern to the organic lower layer film,

(4) removing the silicon-containing intermediate film remaining on the upper portion of the organic underlayer film pattern with a stripping solution,

(5) forming an inorganic silicon film composed of any one of polysilicon, amorphous silicon, silicon oxide, silicon nitride oxide, silicon carbide, or a composite material thereof by a CVD method or an A L D method so as to cover the organic lower layer film pattern,

(6) removing a part of the inorganic silicon film by dry etching to expose an upper portion of the organic lower layer film pattern, and

(7) the organic lower layer film pattern was removed, and an inorganic silicon film pattern having a pattern pitch of 1/2 of the upper layer resist pattern was formed.

In the step (4), the stripping solution preferably contains either or both of fluorine ions and nitrogen-containing cations.

The object to be processed is preferably a semiconductor device substrate, or a semiconductor device substrate on which a metal film, an alloy film, a metal carbide film, a metal oxide film, a metal nitride film, a metal oxycarbide film, or a metal oxynitride film is formed.

The metal constituting the workpiece is preferably silicon, gallium, titanium, tungsten, hafnium, zirconium, chromium, germanium, copper, silver, gold, indium, arsenic, palladium, tantalum, iridium, aluminum, iron, molybdenum, cobalt, or an alloy thereof.

The pattern forming method of the present invention using a 3-layer resist process is as follows (see fig. 1). In this process, first, an organic underlayer film 2 is formed on a workpiece 1 by spin coating (fig. 1(a) and (b)). The organic underlayer 2 functions as a mask when the object 1 to be processed is etched, and therefore, it is preferable that the etching resistance is high, and it is required that the organic underlayer is not mixed with the upper silicon-containing intermediate film, and it is preferable that the organic underlayer is crosslinked by heat or acid after the formation by spin coating.

A silicon-containing intermediate film 3 is formed thereon by spin coating using the silicon-containing film-forming composition of the present invention (FIG. 1(c)), and a resist film 4 is formed thereon by spin coating (FIG. 1 (d)).

The resist film 4 is patterned by photolithography, for example, pattern exposure using ArF excimer laser light, on the resist film 4 according to a predetermined method (fig. 1(e)), heat-treated under conditions suitable for the respective resist films, then developed with an alkali developer, and rinsed as necessary, whereby a positive resist pattern (upper resist pattern) can be obtained (fig. 1 (f)).

Then, the resist pattern is used as an etching mask, and etching is performed under dry etching conditions under which the etching rate of the silicon-containing intermediate film 3 is significantly higher than that of the resist film, for example, dry etching using fluorine-based gas plasma. As a result, a silicon-containing intermediate film pattern can be obtained with little influence of pattern variation caused by side etching of the resist film (fig. 1 (g)).

Then, the substrate having the silicon-containing intermediate film pattern into which the upper layer resist pattern is transferred, which is obtained as described above, is subjected to etching processing of the organic lower layer film 2 under dry etching conditions in which the etching rate of the organic lower layer film 2 is significantly high, for example, reactive dry etching with gas plasma containing oxygen or reactive dry etching with gas plasma containing hydrogen-nitrogen. The organic underlayer film pattern can be obtained by this etching step (fig. 1 (h)). In this case, a portion of the silicon-containing intermediate film remains on the organic film pattern in order to maintain the rectangularity of the organic film pattern after dry etching.

The residue of the silicon film is subjected to wet treatment using a stripping solution, and thereby cleaned and removed (fig. 1 (i)). The stripping liquid used in this case is preferably a stripping liquid containing fluorine ions or a stripping liquid containing nitrogen cations. In general, dilute hydrofluoric acid, an aqueous ammonium fluoride solution, SC1, an aqueous tetraalkylammonium solution, an organic solvent containing tetraalkylammonium hydroxide, and the like are known, and are selected depending on the material of the substrate. The tetraalkylammonium aqueous solution and the tetraalkylammonium-containing organic solvent are preferably used as the aqueous solution containing fluorine ions or SC1, as long as the substrate material is suitable, since the selection range of the substrate material is wide.

Then, a thin film made of polysilicon, amorphous silicon, silicon oxide, silicon nitride oxide, silicon carbide, or a composite material thereof is formed by CVD or a L D so as to cover the organic underlayer film pattern obtained here (fig. 1(j)), the thin film is dry-etched to expose the upper portion of the organic film (fig. 1(k)), the exposed organic film is removed by wet treatment or dry etching to form a pattern 1/2 with an exposure pattern pitch (fig. 1(l)), the object 1 is dry-etched using the obtained pattern as an etching mask, and the object 1 is etched using, for example, fluorine-based dry etching or chlorine-based dry etching with good accuracy, and the pattern 1/2 with the exposure pattern pitch is transferred to the object 1 (fig. 1 (m)).

In the above-described process using the 3-layer resist method (the triple resist process), an organic hard mask formed by the CVD method may be used instead of the organic underlayer film 2. In this case, the object 1 may be machined by the same procedure as described above.

The composition for forming a silicon-containing film of the present invention can also be used in the following pattern forming method.

(mode 1)

There is provided a pattern forming method (so-called "multilayer resist process") comprising forming an organic underlayer film on a work by using a coating-type organic underlayer film material, forming a silicon-containing intermediate film on the organic underlayer film by using the silicon-containing film forming composition of the present invention, forming a resist film on the silicon-containing intermediate film by using a chemically amplified resist composition, exposing the resist film to EUV light after heat treatment, dissolving an exposed portion of the resist film by using an alkali developer to form a positive pattern, pattern-transferring the positive resist film with the positive resist film pattern formed thereon as a mask, pattern-transferring the silicon-containing intermediate film by dry etching, pattern-transferring the pattern-transferred silicon-containing intermediate film as a mask, and pattern-transferring the organic underlayer film by dry etching, further, the organic underlayer film to which the pattern is transferred is used as a mask, and the pattern is transferred to the object to be processed by dry etching.

(mode 2)

There is provided a pattern forming method (so-called "multilayer resist process") comprising forming an organic hard mask containing carbon as a main component on a workpiece by a CVD method, forming a silicon-containing intermediate film on the organic hard mask using the silicon-containing film forming composition of the present invention, forming a resist film on the silicon-containing intermediate film using a chemically amplified resist composition, exposing the resist film to EUV light after a heat treatment, dissolving an exposed portion of the resist film using an alkali developer to form a positive pattern, transferring a pattern of the silicon-containing intermediate film using positive dry etching as a mask, transferring a pattern of the silicon-containing intermediate film using the silicon-containing intermediate film transferred with the pattern as a mask, transferring a pattern of the organic hard mask using dry etching, and further transferring the pattern of the organic hard mask using the organic hard mask transferred with the pattern as a mask, and transferring a pattern to the object to be processed by dry etching.

When the pattern is formed by such a method, the pattern formed with the resist can be formed on the substrate without causing a difference in dimension change by optimizing the combination of the CVD film and the organic underlayer film as described above.

In the positive pattern forming method, a resist film is formed, heat-treated, exposed, and alkali-developed with an alkali developing solution to obtain a positive resist pattern. Also, it is preferable to perform a post-exposure bake (PEB) after exposure.

As the alkali developer, tetramethylammonium hydroxide (TMAH) or the like can be used.

68页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:单封端丙酰氧基有机硅树脂及其制备方法、包含该有机硅树脂的导电胶粘剂及其制备方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!