Semiconductor device and method of forming a semiconductor device

文档序号:1523030 发布日期:2020-02-11 浏览:8次 中文

阅读说明:本技术 半导体器件以及形成半导体器件的方法 (Semiconductor device and method of forming a semiconductor device ) 是由 吴家扬 张简旭珂 王廷君 游咏晞 于 2019-07-30 设计创作,主要内容包括:根据本申请的实施例,提供了一种半导体器件。该半导体器件包括具有源极/漏极和栅极的晶体管。半导体器件也包括用于晶体管的导电接触件。导电接触件提供至晶体管的源极/漏极或栅极的电连接。导电接触件包括多个阻挡层。阻挡层具有彼此不同的深度。根据本申请的实施例,还提供了另一种半导体器件以及一种形成半导体器件的方法。(According to an embodiment of the present application, there is provided a semiconductor device. The semiconductor device includes a transistor having source/drain and a gate. The semiconductor device also includes a conductive contact for the transistor. The conductive contacts provide electrical connections to the source/drain or gate of the transistor. The conductive contact includes a plurality of barrier layers. The barrier layers have different depths from each other. According to embodiments of the present application, there is also provided another semiconductor device and a method of forming a semiconductor device.)

1. A semiconductor device, comprising:

a transistor having a source/drain and a gate; and

a conductive contact for the transistor, the conductive contact providing an electrical connection to the source/drain or the gate of the transistor;

wherein:

the conductive contact comprises a plurality of barrier layers; and

the barrier layers have different depths from each other.

2. The semiconductor device of claim 1, wherein the plurality of barrier layers have a stepped profile in cross-section.

3. The semiconductor device of claim 1, wherein the barrier layers have different material compositions from each other.

4. The semiconductor device of claim 1, wherein:

the conductive contact includes a metal portion surrounded by the barrier layer;

the barrier layer comprises a first barrier layer, a second barrier layer and a third barrier layer;

the first barrier layer is in direct physical contact with a portion of the second barrier layer;

the second barrier layer is in direct physical contact with a portion of the third barrier layer; and

the third barrier layer is in direct physical contact with a metal portion of the conductive contact.

5. The semiconductor device of claim 4, wherein:

the first barrier layer has a first length extending down into the dielectric layer;

the second barrier layer has a second length extending downward into the dielectric layer, the second length being greater than the first length; and

the third barrier layer has a third length extending downward into the dielectric layer, the third length being greater than the second length.

6. The semiconductor device of claim 4, wherein:

the first barrier layer comprises TaN;

the second barrier layer comprises at least one of TaN, Ta, Ti, and TiN; and

the third barrier layer comprises at least one of Co, Ni, Ti, and TiN.

7. The semiconductor device according to claim 1, wherein an upper portion of the conductive contact is surrounded by (n +1) barrier layers, a central portion is surrounded by n barrier layers, and a bottom portion is surrounded by (n-1) barrier layers, where n is a natural number equal to or greater than 2.

8. A semiconductor device, comprising:

a transistor having a source/drain component and a gate component; and

a conductive contact formed over the source/drain component or over the gate component of the transistor;

wherein:

the conductive contact includes a metal portion surrounded by a plurality of barrier layers; and

the length of each of the barrier layers is related to the distance of the barrier layer from the metal portion.

9. The semiconductor device of claim 8, wherein a depth decreases with increasing distance from the metal portion.

10. A method of forming a semiconductor device, comprising:

providing a transistor having a source/drain and a gate, wherein a dielectric material is formed over the transistor;

performing a plurality of etch and deposition cycles to form a plurality of barrier layers of conductive contacts of the transistor, wherein each cycle comprises an etch process to etch openings in the dielectric material and a deposition process to deposit a respective barrier layer in the etched openings, wherein the barrier layers are formed to have different depths from one another; and

the final opening etched by the last cycle is filled with a conductive material, thereby forming a conductive contact of the transistor.

Technical Field

Embodiments of the present application relate to the field of semiconductors, and more particularly, to semiconductor devices and methods of forming semiconductor devices.

Background

The semiconductor Integrated Circuit (IC) industry has experienced rapid growth. Technological advances in IC materials and design have resulted in generations of ICs where each generation has smaller and more complex circuits than the previous generation. However, these advances have increased the complexity of processing and manufacturing ICs, and similar developments in IC processing and manufacturing are required in order to achieve these advances. In the course of IC evolution, the functional density (i.e., the number of interconnected devices per chip area) has generally increased, while the geometry (i.e., the smallest component that can be produced using a fabrication process) has decreased.

However, conventional semiconductor devices may still have certain drawbacks. For example, conductive contacts may be formed to provide electrical connections for active devices such as transistors or passive devices such as resistors, capacitors, inductors, and the like. To form such a conductive contact, a contact opening may be formed and then filled with a conductive material. However, the contact opening of the conventional semiconductor device is generally formed to have a vase or oval-like shape. Such shapes may result in difficulty filling the openings, which may reduce device performance or yield.

Thus, while existing semiconductor devices and their manufacture are generally adequate for their intended purposes, they have not been entirely satisfactory in all respects.

Disclosure of Invention

According to an embodiment of the present application, there is provided a semiconductor device including: a transistor having a source/drain and a gate; and a conductive contact for the transistor, the conductive contact providing an electrical connection to the source/drain or the gate of the transistor; wherein: the conductive contact comprises a plurality of barrier layers; and the barrier layers have different depths from each other.

According to an embodiment of the present application, there is also provided a semiconductor device including: a transistor having a source/drain component and a gate component; and a conductive contact formed over the source/drain component or over the gate component of the transistor; wherein: the conductive contact includes a metal portion surrounded by a plurality of barrier layers; and a length of each of the barrier layers is related to a distance of the barrier layer from the metal portion.

There is also provided, in accordance with an embodiment of the present application, a method of forming a semiconductor device, including: providing a transistor having a source/drain and a gate, wherein a dielectric material is formed over the transistor; performing a plurality of etch and deposition cycles to form a plurality of barrier layers of conductive contacts of the transistor, wherein each cycle comprises an etch process to etch openings in the dielectric material and a deposition process to deposit a respective barrier layer in the etched openings, wherein the barrier layers are formed to have different depths from one another; and filling the final opening etched by the last cycle with a conductive material, thereby forming a conductive contact of the transistor.

Drawings

Various aspects of the invention are best understood from the following detailed description when read with the accompanying drawing figures. It should be emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various elements may be arbitrarily increased or decreased for clarity of discussion. It is also to be emphasized that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other embodiments as well.

Fig. 1 is a perspective view of an exemplary FinFET transistor.

Fig. 2 illustrates a top view of a semiconductor device including a plurality of FinFET transistors, according to an embodiment of the present disclosure.

Fig. 3-14 and 15A-15B illustrate cross-sectional side views of a FinFET transistor at various stages of fabrication, according to embodiments of the present disclosure.

Fig. 16 is a flow chart illustrating a method of manufacturing a semiconductor device according to an embodiment of the present disclosure.

Detailed Description

The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to limit the invention. For example, in the following description, forming a first feature over or on a second feature may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. Further, the present invention may repeat reference numerals and/or characters in the various embodiments. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.

Also, spatially relative terms, such as "below …," "below …," "lower," "above …," "upper," and the like, may be used herein for ease of description to describe one element or component's relationship to another element (or other) component as illustrated. Spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

Further, as will be understood by those of skill in the art, when a value or range of values is described with "about," "about," etc., the term is intended to encompass values within a reasonable range including the recited value, such as +/-10% of the recited value or other values. For example, the term "about 5 nm" encompasses the size range of 4.5nm to 5.5 nm.

In the pursuit of higher device density, higher performance and lower cost, the semiconductor industry has entered the nanotechnology process node. However, conventional semiconductor fabrication methods may still have drawbacks. For example, to provide electrical connections for semiconductor devices, conductive contacts may be formed over active devices such as transistors or passive devices such as resistors or capacitors. The formation of the conductive contacts may include etching contact openings in the dielectric structure and subsequently filling the openings with a conductive material, such as a metal. However, the contact openings formed in conventional semiconductor devices may have oval or vase-like cross-sectional side profiles, e.g. wider in the middle but narrower at the top and/or bottom. Such a profile may result in difficulty in filling the contact openings with conductive material. Incomplete filling of the contact holes may reduce device performance or yield.

To improve device performance, the present disclosure forms conductive contacts with multiple barrier layers. Multiple barrier layers are formed by multiple etch-deposition cycles, wherein contact openings are etched (or extended downward) in each cycle, followed by deposition of a different barrier layer. In some of these etch-deposition cycles, a sputtering process is also performed to remove the bottom section of the deposited barrier layer to facilitate subsequent etching of the contact opening. Due to this unique manufacturing process flow, the plurality of barrier layers are formed to have a stepped profile in a cross-sectional view. The resulting contact opening does not have a vase-like shape but a shape that is wider at the top and narrower at the bottom, making it easier to fill. The individual barrier layers can also have different material compositions, which makes them possible to function differently.

Various aspects of the disclosure are discussed in more detail below with reference to fig. 1-14 and 15A-15B. As non-limiting examples illustrating various aspects of the present disclosure, fin field effect transistor (FinFET) devices are discussed with reference to fig. 1-14 and 15A-15B. However, it should be understood that aspects of the present disclosure are not limited to any particular type of device unless explicitly stated otherwise.

The use of FinFET devices is becoming increasingly popular in the semiconductor industry. Referring to fig. 1, a perspective view of an exemplary FinFET device 50 is shown. The FinFET device 50 is a non-planar multi-gate transistor that is built over a substrate, such as a bulk substrate. A thin silicon-containing "fin" structure (hereinafter "fin") forms the body of the FinFET device 50. The fins extend along the X-direction shown in fig. 1. The fin has a fin width W measured along a Y direction orthogonal to the X direction fin. The gate 60 of the FinFET device 50 wraps around the fin, e.g., around the top surface and opposing sidewall surfaces of the fin. Thus, portions of gate 60 are located over the fin in the Z direction, which is orthogonal to both the X and Y directions.

L GIndicating the length (or width, depending on the perspective view) of the gate 60 measured in the X direction. The gate 60 may include a gate electrode assembly 60A and a gate dielectric assembly 60B. The gate dielectric 60B has a thickness t measured in the Y direction ox. Portions of the gate 60 are located over dielectric isolation structures such as Shallow Trench Isolation (STI). The source 70 and drain 80 of the FinFET device 50 are formed in extensions of the fin on opposite sides of the gate 60. The portion of the fin that is wrapped by the gate 60 serves as the channel of the FinFET device 50. The effective channel length of the FinFET device 50 is determined by the size of the fin.

Fig. 2 is a simplified top view of a semiconductor device 100 that includes a plurality of FinFET transistors. For simplicity reasons, the semiconductor device 100 may be interchangeably referred to hereinafter as a FinFET device 100. As shown in fig. 2, the semiconductor device 100 includes a plurality of fin structures 150 and a plurality of gate structures 200. Fin structure 150 is a portion of the semiconductor layer that protrudes upward in the Z-direction shown in fig. 1. Fin structures 150 are elongated structures that each extend in the X-direction of fig. 1. Meanwhile, gate structures 200 are lengthwise structures that each extend in the Y-direction of fig. 1 and that each wrap around a portion of each fin structure 150 (e.g., in the manner shown in fig. 1). In the top view of fig. 2, gate structure 200 and fin structure 150 appear to intersect vertically.

The different cross-sectional views of the semiconductor device 100 may be obtained by "cutting" the semiconductor device 100 in the X-direction, which is referred to as X-cutting, or by "cutting" the semiconductor device 100 in the Y-direction, which is referred to as Y-cutting. Unless otherwise noted, the cross-sectional views of fig. 3-12 of the present disclosure are X-cut views.

Referring to fig. 3, a semiconductor device 100 is fabricated over a substrate. In some embodiments, the substrate comprises a dielectric material, such as silicon oxide (SiO) 2). In some other embodiments, the substrate comprises a semiconductor material, such as silicon. In alternative embodiments, other suitable materials may be used for the substrate.

The semiconductor device 100 includes a semiconductor layer 110. The semiconductor layer 110 may be formed over a substrate and may include a semiconductor material. For example, in an embodiment, the semiconductor layer 110 includes a crystalline silicon material. An implantation process (e.g., a punch-through resistant implantation process) may be performed to implant a plurality of dopant ions into the semiconductor layer 110. The dopant ions may comprise n-type materials such As arsenic (As) or phosphorous (P) in some embodiments, or they may comprise P-type materials such As boron (B) in some other embodiments, depending on whether an NMOS (or nFET) or PMOS (or pFET) is desired.

An isolation structure such as a Shallow Trench Isolation (STI) is formed over the semiconductor layer, but since the location of the X cut is employed here, the isolation structure may not be directly visible in fig. 3. The isolation structure may include a dielectric material such as silicon oxide, silicon nitride, silicon oxynitride, or combinations thereof. The isolation structures provide electrical isolation between the various microelectronic components of the semiconductor device 100.

Semiconductor device 100 includes fin structures, such as fin structures 150, each protruding vertically upward in the Z-direction. The fin structure 150 may be formed by patterning the semiconductor layer 110 (prior to formation of the isolation structure). Accordingly, the fin structure 150 may have the same material composition as the semiconductor layer 110. Isolation structures are formed on the sidewalls of fin structure 150 (again, the isolation structures are not directly visible here), but portions of fin structure 150 still protrude from the isolation structures. In other words, at least a portion of each fin structure 150 is not covered by an isolation structure.

Portions of the fin structure 150 may be doped to function as source/drain regions 160 of a FinFET transistor. The source/drain regions 160 may comprise silicon germanium or silicon phosphorous. Epitaxial layer 170 may also be epitaxially grown on source/drain regions 160 via one or more epitaxial growth processes. The epitaxial layer 170 is also considered to be part of the source/drain of the FinFET transistor. The portion of fin structure 150 between source/drain regions 160 may serve as a channel region of a FinFET transistor. An etch stop layer 180 is located over the epitaxial layer 170. In some embodiments, the etch stop layer 180 may comprise a dielectric material.

Semiconductor device 100 also includes an interlayer dielectric (ILD) structure such as a layer of ILD0 and a layer of ILD1 above the layer of ILD 0. The ILD0 layer and ILD1 layer may include dielectric materials, such as low-k dielectric materials (dielectric materials having a dielectric constant less than silicon dioxide). As non-limiting examples, the low-k dielectric material may include fluorine-doped silicon dioxide, carbon-doped silicon dioxide, porous carbon-doped silicon dioxide, spin-on organic polymer dielectrics, spin-on silicon-based polymer dielectrics, or combinations thereof. Alternatively, the ILD0 layer and/or ILD1 layer may comprise silicon oxide or silicon nitride or a combination thereof.

The semiconductor device 100 further includes a gate structure 200. Gate structure 200 is formed to wrap around each fin structure 150, for example in a manner similar to that shown in fig. 1. The gate structure 200 may include a gate dielectric and a gate electrode. The gate dielectric may comprise a high-k dielectric material that has a dielectric constant greater than SiO 2A dielectric constant of about 4. In an embodiment, the high-k gate dielectric includes hafnium oxide (HfO2) having a dielectric constant in the range of about 18 to about 40. In alternative embodiments, the high-k gate dielectric may include ZrO2, Y2O3, La2O5, Gd2O5, TiO2, Ta2O5, HfErO, HfLaO, HfYO, HfGdO, HfAlO, HfZrO, HfTiO, HfTaO, or SrTiO. The gate electrode may include a metal-containing material. In some embodiments, the metal gate electrode may include a work function metal component and a fill metal component. The work function metal composition is configured to adjust the work function of its corresponding FinFET to achieve a desired threshold voltage Vt. In various embodiments, the work function metal component may comprise: TiAl, TiAlN, TaCN, TiN, WN or W or a combination thereof. The fill metal composition is configured to serve as a primary conductive portion of the functional gate structure. In various embodiments, the filler metal component may include aluminum (Al), tungsten (W), copper (Cu), or a combination thereof.

Layer 210 is located over gate structure 200 (or alternatively, layer 210 may be considered part of gate structure 200). In some embodiments, layer 210 may include a conductive material and may help reduce the resistance of gate structure 200. Since layer 210 is aligned with gate structure 200, layer 210 may also be referred to as a self-aligned contact (SAC).

Spacers 220 are located on the sidewalls of gate structure 200 and on the sidewalls of layer 210. The spacers 220 may be formed by a suitable deposition process followed by an etching process. The spacers 220 may comprise a dielectric material, such as silicon oxide, silicon nitride, silicon oxynitride, or combinations thereof.

In some embodiments, the gate structure 200 is formed by a gate replacement process. In a gate replacement process, a dummy gate electrode is formed on the high-k dielectric. For example, the dummy gate electrode may include polysilicon. After formation of source/drain regions 160 (and epitaxial layer 170 thereof) and the ILD0 layer, the dummy gate electrode is removed using one or more etching processes, thus leaving an opening in the ILD0 layer where the dummy gate structure was removed. The opening is then filled with a metal gate material to form a metal gate electrode of the gate structure 200. This is referred to as a gate last process. In some embodiments, the gate replacement process comprises a post high-k process. In a post high-k process, a dummy gate dielectric (such as silicon oxide) is formed first, instead of the high-k gate dielectric. A dummy gate electrode is then formed on the dummy gate dielectric. After formation of the source/drain regions 160 and ILD0 layers, the dummy gate dielectric and dummy gate electrode may be removed, leaving openings. A high-k dielectric material is then formed to fill the opening, forming a high-k gate dielectric. A metal gate electrode is then formed on the high-k gate dielectric.

The ILD1 layer may be formed after the formation of gate structure 200. An etch process 300 is performed on semiconductor device 100 to etch one or more openings 310 in ILD1 layer. Although two openings 310 are shown in fig. 3, it should be understood that in some embodiments, openings 310 may be trenches that overlap with two fin structures. The etching process 300 may comprise a wet etching process in some embodiments, or a dry etching process in other embodiments, or a combination thereof in yet other embodiments. For example, in some embodiments, the etching process 300 may include first performing a dry etching process followed by a wet etching process. The opening 310 etched into the ILD1 layer is located over the source/drain region 160 (or epitaxial layer 170) of the FinFET transistor and is vertically aligned with the source/drain region 160. As a non-limiting example, a simplified representation of opening 310 (overlying the source/drain regions of fin structure 150) is also shown in the top view of fig. 2. The openings 310 may also be referred to as source/drain contact openings.

Similarly, another etch process similar to etch process 300 may be performed on semiconductor device 100 to etch opening 320 in the ILD1 layer, but with opening 320 located over gate structure 200 and vertically aligned with gate structure 200. In other words, the opening 320 is a gate contact opening. The gate contact openings 320 are not directly visible in the cross-sectional view of fig. 3 due to the position of the X-cut cross-sectional view, but a simplified representation of these gate contact openings 320 is shown in the top view of fig. 2. It should be understood that although fig. 2 shows two source/drain contact openings 310 and two gate contact openings 320, a plurality of other similar contact openings for the source/drain regions and the rest of the gate structure of semiconductor device 100 may be etched in the ILD1 layer.

Referring now to fig. 4, a deposition process 350 is performed to form a barrier layer 360 over the ILD0 layer. In some embodiments, the barrier layer 360 comprises TaN, which helps prevent leakage or diffusion. Barrier layer 360 partially fills opening 310 (and opening 320, although not directly visible in fig. 4). In each opening 310, barrier layer 360 includes a bottom section 360A formed on the bottom surface of opening 310, and side wall sections 360B formed on the side walls of opening 310. The side wall sections 360B are connected together by a bottom section 360A. Barrier layer 360 is formed to have a thickness 365. In some embodiments, thickness 365 ranges between about 10 angstroms and about 500 angstroms.

It should be understood that barrier layer 360 is also deposited in opening 320 (i.e., the gate contact opening) by deposition process 350, but barrier layer 360 formed in opening 320 is not directly visible in fig. 4. The barrier layer 360 formed in the gate contact opening 320 also has sidewall segments 360B connected together by a bottom segment 360A. In some embodiments, deposition process 350 comprises a sputtering process in which a target source material (e.g., the material for barrier layer 360) is eroded (e.g., via ion bombardment) and thus deposited on ILD1 layer. In some embodiments, the deposition process 350 is performed using a tool capable of performing DC voltage sputtering and/or AC voltage (RF) sputtering.

Referring now to fig. 5, a re-sputtering process 400 is performed on the semiconductor device 100. The resputtering process 400 removes the bottom section 360A of the barrier layer 360 in each opening 310 (and also removes the bottom section of the barrier layer 360 in the opening 320). For example, a configurable capacitor (e.g., a configurable capacitance) may be placed below a wafer on which the semiconductor device 100 is fabricated. By configuring the capacitor, the direction of ion bombardment can be controlled or adjusted. Accordingly, the re-sputtering process 400 is a directional process, and the re-sputtering process 400 may be performed such that the bottom segment 360A of the barrier layer 360 is removed without substantially affecting the sidewall segments 360B of the barrier layer 360.

In some embodiments, the re-sputtering process 400 and the deposition process 350 are performed using the same tool. In other words, since the same semiconductor manufacturing tool is capable of performing the deposition process and the re-sputtering process, the wafer on which the semiconductor device 100 is manufactured may undergo the deposition and re-sputtering processes in the same manufacturing tool, which facilitates and simplifies the semiconductor manufacturing process. However, it should be understood that in alternative embodiments, another etching process may be implemented in place of the re-sputtering process 400 to remove the bottom section 360A of the barrier layer 360. In this case, such an etch process may use an etchant configured to remove the material of barrier layer 360 (e.g., TaN), while the etchant of etch process 300 may be configured to remove the dielectric material of ILD1 layer (e.g., silicon oxide).

Referring now to fig. 6, an etch process 500 is performed on the semiconductor device 100 to further extend the opening 310 downward. For example, opening 310 may be etched through the ILD1 layer and may extend partially into the ILD0 layer. The etching process 500 may also include a dry etching process and/or a wet etching process, such as a dry etching process followed by a wet etching process. The barrier layer 360 may be used as an etch mask during the etch process 500. In some embodiments, a bottom portion of the opening 310 is located above an upper surface of the etch stop layer 180 (as shown in fig. 6 herein). In some other embodiments, the opening 310 may extend into the etch stop layer 180. Similarly, another etch process similar to etch process 500 may be performed to further extend gate contact opening 320 downward, e.g., stopping at layer 210 (or, alternatively, stopping at gate structure 200).

Referring now to fig. 7, a deposition process 550 is performed to form a barrier layer 560 over the semiconductor device 100. In some embodiments, barrier layer 560 and barrier layer 360 may have different material compositions. In some embodiments, the barrier layer 560 comprises at least one of TaN, Ta, Ti, TiN, or a combination thereof. These materials help prevent leakage or diffusion, as well as enhance conductivity.

As shown in fig. 7, barrier layer 560 partially fills opening 310. Barrier layer 560 is formed to have a thickness 565. In some embodiments, thickness 565 is in a range between about 10 angstroms and about 500 angstroms. In each opening 310, barrier 560 includes a bottom section 560A formed on the bottom surface of opening 310, and a sidewall section 560B formed on the sidewall of opening 310 and on sidewall section 360B of barrier 360. In other words, the entire remaining sidewall section 360B of the barrier layer 360 is in direct physical contact with portions of the sidewall section 560B of the barrier layer 560. In some embodiments, sidewall section 360B is in physical contact with 20% to 80% of the portion of sidewall section 560B. The range is configured to facilitate the multi-cycle etch and deposition process of the present disclosure. If the physical contact between the sidewall segments 360B and 560B is too small, it is an indication that the sidewall segments 360B are formed too short and that they may not be adequately utilized for their intended purpose. On the other hand, too much physical contact between sidewall segments 360B and 560B indicates that sidewall segments 560B may not be formed long enough (or sidewall segments 360B formed too long) and that they may still not be adequately utilized for their intended purpose. It should be understood that barrier layer 560 is also deposited by deposition process 550 into extended opening 320 (i.e., the gate contact opening), but the portion of barrier layer 560 formed in opening 320 is not directly visible in fig. 7.

Similar to the deposition process 350, the deposition process 550 may also include a sputtering process, which may be performed via the same manufacturing tool that performed the deposition process 350.

Referring now to fig. 8, a re-sputtering process 600 is performed on the semiconductor device 100. The re-sputtering process 600 removes the bottom section 560A of the barrier layer 560 in each opening 310 (and also removes the bottom section of the barrier layer 560 in the opening 320). Likewise, the re-sputtering process 600 is a directional process, and the re-sputtering process 600 may be performed such that the removal of the bottom section 560A of the barrier layer 560 does not substantially affect the sidewall sections 560B of the barrier layer 560. It should also be understood that the re-sputtering process 600 may be similar to the re-sputtering process 400 discussed above and may be implemented using the same manufacturing tools. In an alternative embodiment, another etching process (e.g., dry etching) may be performed to remove the bottom section 560A instead of the re-sputtering process. Such an etch process may be configured to remove the material of layer 560 (TaN, Ta, Ti, TiN) without removing the dielectric material of ILD1 layer (e.g., silicon oxide).

Referring now to fig. 9, an etch process 700 is performed on semiconductor device 100 to further extend opening 310 downward. For example, opening 310 may be etched through the ILD1 layer and ILD0 layer, and may extend into etch stop layer 180, but stop at epitaxial layer 170, which epitaxial layer 170 is considered part of the source/drain, as described above. In some embodiments, opening 310 may be etched to expose portions of epitaxial layer 170, meaning that the source/drain regions are exposed through opening 310. The etching process 700 may also include a dry etching process and/or a wet etching process, such as a dry etching process followed by a wet etching process. The barrier layer 560 may serve as an etch mask during the etch process 700.

Referring now to fig. 10, a deposition process 750 is performed to form a barrier layer 760 over the semiconductor device 100. In some embodiments, barrier layer 760 may be referred to as a glue layer. In some embodiments, barrier layer 760, barrier layer 560, and barrier layer 360 may have different material compositions from one another. In some embodiments, barrier layer 760 comprises Co, Ni, Ti, TiN, or a combination thereof. These materials help prevent leakage or diffusion, enhance conductivity, and promote silicide formation.

As shown in fig. 10, barrier layer 760 partially fills opening 310. In each opening 310, the barrier layer 760 includes a bottom section 760A formed on the bottom surface of the opening 310, and a side wall section 760B formed on the side wall of the opening 310 and on the side wall section 560B of the barrier layer 560. In other words, as a non-limiting example shown in the embodiment of fig. 10, the entire remaining sidewall segment 560B of barrier layer 560 is in direct physical contact with a portion of sidewall segment 760B of barrier layer 760.

As shown in fig. 10, the side wall segment 360B may have a depth 780, the side wall segment 560B may have a depth 781, and the side wall segment 760B may have a depth 782 (both measured in the Z direction). Depths 780-782 are the lengths of sidewall segments 360B, 560B, 760B that extend down into the ILD0 and ILD1 layers in the Z-direction. In some embodiments, the ratio between depth 780 and depth 781 is in a range between about 1:5 and about 3: 5. In some embodiments, the ratio between depth 780 and depth 782 is in a range between about 1:5 and about 3: 7. In some embodiments, the ratio between depth 781 and depth 782 is in a range between about 1:2 and about 3: 4.

Similar to the deposition processes 350 and 550, the deposition process 750 may also include a sputtering process, and the deposition process 750 may be performed via the same manufacturing tool that performed the deposition processes 350 and 550. Unlike barrier layers 360 and 560, no resputtering process need be performed on barrier layer 760. In other words, bottom section 760A remains barrier layer 760 for use in opening 310. This is because the bottom segment 760A will promote the formation of contact suicide. For example, referring now to fig. 11, an annealing process 800 is performed on the semiconductor device 100. In some embodiments, the annealing process 800 may include a Rapid Thermal Annealing (RTA) process, in which the annealing temperature is rapidly increased. The anneal process 800 promotes a reaction between the epitaxial layer 170 (which is part of the source/drain regions) and the bottom segment 760A of the barrier layer 760. Accordingly, a contact silicide layer 820 may be formed in the epitaxial layer 170. The contact silicide layer 820 helps to reduce the resistance of the contacts that will be formed over the source/drain regions 160. In some embodiments, the contact silicide layer 820 may also have a larger volume than the contact silicide layer. This may be due to reactions between certain sidewall segments 760B and the underlying layers. Furthermore, the shape/profile of the openings 310 formed in accordance with the present disclosure allows for a larger exposed area of the source/drain for silicide formation. Thus, the silicide region is enlarged. The larger silicide layer 820 may further reduce the resistance of the contact and enhance its conductivity.

Referring now to fig. 12, conductive contacts 840 are formed by filling openings 310 with a conductive material. In some embodiments, the conductive contacts 840 comprise a metal, such as tungsten (W), cobalt (Co), or a combination thereof. It should be understood that barrier layer 360/560/760 may also be considered part of conductive contact 840. Although the contacts 840 shown in fig. 12 are source/drain contacts, it is understood that gate contacts may be similarly formed over the gate structure 200.

Based on the above discussion, it can be seen that the present disclosure utilizes a unique method to form the barrier layer 360/560/760 for the contact 840 of a FinFET transistor. Rather than etching openings through ILD1 and ILD0 all the way down to the source/drain regions with a single etch step, the present disclosure employs multiple etch/deposition cycles to define contact openings 310 and form multiple barrier layers. As discussed above with reference to fig. 3-10, in each etch/deposition cycle, contact opening 310 is etched down, and a deposition process follows to deposit a barrier layer in the etched contact opening. Removing the bottom segments of the barrier layer (e.g., bottom segments 360A and 560A) using re-sputtering allows the etch process of subsequent etch/deposition cycles to continue smoothly without having to switch etchants. The remaining portion of the barrier layer also serves as an etch mask for subsequent etching steps, thereby preserving the shape or cross-sectional profile of the contact opening 310. In other words, the contact openings 310 are prevented from having a vase-like profile (common in conventional FinFET devices), where the contact openings are wider in the middle but narrower at the top and bottom. Also, the contact openings 310 formed by the present disclosure have a profile that is wider at the top and narrower at the bottom. For example, as the depth of the opening increases, the lateral width of the contact opening 310 (and thus the subsequently formed contact 840 in the opening) may decrease. This type of profile allows the contact 840 to be easily formed in the contact opening 310, e.g., without a gap therein.

The plurality of barrier layers 360/560/760 formed herein also have a unique profile, such as a stepped (or trapezoidal) profile in cross-sectional side view. For example, the depth (in the Z-direction) of barrier layer 360 is less than the depth of barrier layer 560, and the depth of barrier layer 560 is less than the depth of barrier layer 760. Alternatively stated, sidewall section 760B extends furthest downward and sidewall section 560B extends less downward and sidewall section 360B extends least downward among the three exemplary barriers. Another way to describe the unique stepped profile of the plurality of barrier layers 360/560/760 is that the depth of each barrier layer is related to the distance of the barrier layer from the contact 840. For example, as the distance between the barrier layer and the contact 840 decreases, the depth of the barrier layer increases.

Barrier layer 360/560/760 may also serve different functions due to their different locations and different material compositions. For example, barrier layer 360 may be used primarily to prevent leakage, such as diffusion between contact 840 and ILD1 layers. Barrier layer 560 may be used primarily to prevent leakage and enhance the conductivity of contact 840. Barrier layer 760 may be used not only to prevent leakage and enhance conductivity of contact 840, but also to promote contact suicide formation. Barrier layer 360/560/760 also promotes adhesion of contact 840 because contact 840 itself may not adhere well to the ILD0/ILD1 layers. Thus, barrier layer 360/560/760 may also be referred to as a glue layer.

It should be understood that although three barrier layers 360/560/760 are used herein to illustrate the concepts of the present disclosure, the number of barrier layers is not limited to three. In other embodiments, more or less than three barrier layers may be used without departing from the spirit and scope of the present disclosure. For example, fig. 13 illustrates an alternative embodiment implementing two barrier layers (e.g., layers 360 and 760) instead of three. In the embodiment shown in fig. 13, the depth of barrier layer 360 may be greater than depth 780 but less than depth 781 (as shown in fig. 10). Also for example, FIG. 14 shows an alternative embodiment implementing four barrier layers, such as layers 360, 560, 760, and 860, rather than three. For example, barrier 860 may be implemented between barrier 560 and 760. In the embodiment shown in fig. 14, the depth of the barrier layer 860 (e.g., measured by how far the sidewall segments 860B extend downward in the Z-direction) may be greater than the depth 781 but less than the depth 782 (as shown in fig. 10). Barrier layer 860 may also have a different material composition than barrier layers 360, 560, and/or 760.

Furthermore, the source/drain contacts and the gate contact may have different numbers of barrier layers in some embodiments, or the same number of barrier layers in other embodiments.

Fig. 15A to 15B show partial sectional side views of a part of a semiconductor device 100A as an embodiment of the semiconductor device 100 described above. For clarity and consistency reasons, identical components appearing in fig. 3-14 are labeled the same in fig. 15A-15B. Also, fig. 15B shows an enlarged (e.g., "zoomed-in") portion of fig. 15A.

In fig. 15A through 15B, the semiconductor device 100A includes a plurality of ILD layers, such as ILD layers 870, 871, and 872. Each of these ILD layers 870-872 may be implemented as the ILD0 or ILD1 layer embodiments discussed above. A conductive via 880 is formed in the ILD layer 871 and another conductive via 881 is formed over the conductive via 880. The formation of conductive via 881 may utilize the multiple cycle etch and deposition processes discussed above in which multiple barrier layers, such as barrier layers 360, 560, and 760, are formed. A seed layer 890 is then formed over the barrier layer 760, and conductive vias 881 are then formed over the seed layer 890. Thus, it can be seen that the various aspects of the present disclosure are applicable not only to conductive contacts for gate components and/or source/drain components of transistors, but they are also applicable to vias used to establish electrical interconnections between various microelectronic components in an IC chip.

Fig. 16 is a flow chart illustrating a method 900 according to an embodiment of the present disclosure. Method 900 includes step 910 in which a FinFET transistor is provided. The FinFET transistor has a source/drain and a gate. A dielectric material is formed over the FinFET transistor. In some embodiments, the dielectric material comprises an interlayer dielectric (ILD).

The method 900 includes a step 920 in which a plurality of etch and deposition cycles are performed to form a plurality of barrier layers for conductive contacts of a FinFET transistor. Each cycle includes an etching process to etch an opening in the dielectric material and a deposition process to deposit a corresponding barrier layer in the etched opening. The barrier layers are formed to have different depths from each other.

The method 900 includes a step 930 in which a conductive material fills the final opening etched by the last cycle, thereby forming a conductive contact of the transistor.

In some embodiments, at least some of the cycles further include a re-sputtering process performed between the etching process and the deposition process. And removing the bottom section of the deposited barrier layer by a sputtering process. In some embodiments, the deposition process and the re-sputtering process are performed using the same semiconductor manufacturing tool.

In some embodiments, the plurality of etch and deposition cycles are performed as follows: a first etch process is performed to etch openings in the dielectric material. The opening is over a source/drain or over a gate of the FinFET transistor. Thereafter, a first barrier layer is deposited in the opening. The first barrier layer includes sidewall segments connected by a bottom segment. The bottom section of the first barrier layer is then removed. After removing the bottom section of the first barrier layer, a second etching process is performed to extend the opening downward. After performing the second etching process, a second barrier layer is deposited in the opening. The second barrier layer includes sidewall segments connected by a bottom segment. Portions of the sidewall sections of the second barrier layer are formed on the sidewall sections of the first barrier layer. Thereafter, the bottom section of the second barrier layer is removed. After removing the bottom section of the second barrier layer, a third etching process is performed to extend the opening further down. After the third etch process, a third barrier layer is deposited in the opening. The third barrier layer includes sidewall segments connected by a bottom segment. Portions of the sidewall sections of the third barrier layer are formed on the sidewall sections of the second barrier layer. The openings are then filled with a conductive material to form conductive contacts. In some embodiments, an annealing process is performed after depositing the third barrier layer but before filling the opening with the conductive material. The annealing process promotes a reaction between the third barrier layer and portions of the underlying source/drain, forming a contact silicide layer. In some embodiments, the first barrier layer, the second barrier layer, and the third barrier layer are formed to have different material compositions from each other. For example, in an embodiment, the first barrier layer is formed to contain TaN, the second barrier layer is formed to contain TaN, Ta, Ti, or TiN, and the third barrier layer is formed to contain Co, Ni, Ti, or TiN.

In some embodiments, the opening is filled with a tungsten-containing material. The tungsten-containing material may be formed in direct physical contact with the sidewall segments and the bottom segment of the third barrier layer.

In some embodiments, the upper portion of the conductive contact is surrounded by (n +1) barrier layers, the central portion is surrounded by n barrier layers, and the bottom portion is surrounded by (n-1) barrier layers, where n is a natural number equal to or greater than 2.

It should be understood that additional processes may be performed before, during, or after steps 910 through 930 of method 900. For example, method 900 may include one or more planarization processes. For reasons of simplicity, other additional steps are not discussed in detail herein.

Based on the above discussion, it can be seen that the present disclosure provides advantages over conventional FinFET devices. However, it is to be understood that other embodiments may provide additional advantages, and that not all advantages need be disclosed herein, and that no particular advantage is required for all embodiments. One advantage is that the present invention forms contact openings with profiles that are easily filled. This is achieved by multiple cycles of the etching and deposition processes discussed above. Another advantage is that different barrier layers can be used for different purposes, since they can have different material compositions and be in different locations. Yet another advantage is that the present disclosure improves silicide formation for contacts. For example, the later-formed barrier layer may react with the underlying layers to form a contact silicide layer, which may have a larger volume than a conventional silicide layer. Yet another advantage is that the process of the present disclosure is easy to implement, e.g., barrier deposition and re-sputtering can be implemented in the same manufacturing tool. Other advantages include compatibility with existing FinFET fabrication, so the present disclosure does not require additional processes, and is therefore easy and inexpensive to implement.

One aspect of the present disclosure relates to a semiconductor device. The semiconductor device has a transistor having source/drain and a gate. The semiconductor device has a conductive contact for a transistor. The conductive contacts provide electrical connections to the source/drain or gate of the transistor. The conductive contact includes a plurality of barrier layers. The barrier layers have different depths from each other.

Another aspect of the present disclosure relates to a semiconductor device. The semiconductor device has a transistor having source/drain components and a gate component. The semiconductor device has a conductive contact formed over a source/drain component or over a gate component of the transistor. The conductive contact includes a metal portion surrounded by a plurality of barrier layers. The length of each barrier layer is related to the distance of the barrier layer from the metal portion.

Another aspect of the present disclosure relates to a method. The method comprises the step of providing a transistor having a source/drain and a gate. A dielectric material is formed over the FinFET transistor. The method includes the step of performing a plurality of etch and deposition cycles to form a plurality of barrier layers for conductive contacts of the transistor. Each cycle includes an etching process to etch an opening in the dielectric material and a deposition process to deposit a corresponding barrier layer in the etched opening. The barrier layers are formed to have different depths from each other. The method comprises the step of filling the final opening etched by the last cycle with a conductive material, thereby forming a conductive contact of the transistor.

According to an embodiment of the present application, there is provided a semiconductor device including: a transistor having a source/drain and a gate; and a conductive contact for the transistor, the conductive contact providing an electrical connection to the source/drain or the gate of the transistor; wherein: the conductive contact comprises a plurality of barrier layers; and the barrier layers have different depths from each other.

According to an embodiment of the application, the plurality of barrier layers has a stepped profile in a cross-sectional view.

According to an embodiment of the application, the barrier layers have different material compositions from each other.

According to an embodiment of the application, wherein: the conductive contact includes a metal portion surrounded by the barrier layer; the barrier layer comprises a first barrier layer, a second barrier layer and a third barrier layer; the first barrier layer is in direct physical contact with a portion of the second barrier layer; the second barrier layer is in direct physical contact with a portion of the third barrier layer; and the third barrier layer is in direct physical contact with the metal portion of the conductive contact.

According to an embodiment of the application, wherein: the first barrier layer has a first length extending down into the dielectric layer; the second barrier layer has a second length extending downward into the dielectric layer, the second length being greater than the first length; and the third barrier layer has a third length extending downward into the dielectric layer, the third length being greater than the second length.

According to an embodiment of the application, wherein: the first barrier layer comprises TaN; the second barrier layer comprises at least one of TaN, Ta, Ti, and TiN; and the third barrier layer comprises at least one of Co, Ni, Ti, and TiN.

According to an embodiment of the present application, an upper portion of the conductive contact is surrounded by (n +1) barrier layers, a central portion is surrounded by n barrier layers, and a bottom portion is surrounded by (n-1) barrier layers, where n is a natural number equal to or greater than 2.

According to an embodiment of the present application, there is also provided a semiconductor device including: a transistor having a source/drain component and a gate component; and a conductive contact formed over the source/drain component or over the gate component of the transistor; wherein: the conductive contact includes a metal portion surrounded by a plurality of barrier layers; and a length of each of the barrier layers is related to a distance of the barrier layer from the metal portion.

According to an embodiment of the application, the depth decreases with increasing distance from the metal portion.

According to an embodiment of the application, wherein: the barrier layer comprises a first barrier layer, a second barrier layer and a third barrier layer; the first barrier layer is located furthest away from the metal portion and includes a sidewall section having a first depth; the third barrier layer is located closest to the metal portion and includes a sidewall section having a third depth; the second barrier layer is located between the first barrier layer and the third barrier layer and includes a sidewall section having a second depth; the first depth is less than the second depth; and the second depth is less than the third depth.

According to an embodiment of the application, wherein: the third barrier layer is in direct physical contact with the metal portion of the conductive contact; the entire second barrier layer is in direct physical contact with a portion of the third barrier layer; and the entire first barrier layer is in direct physical contact with a portion of the second barrier layer.

According to an embodiment of the application, wherein: the metal portion comprises at least one of W and Co; the first barrier layer comprises TaN; the second barrier layer comprises at least one of TaN, Ta, Ti, and TiN; and the third barrier layer comprises at least one of Co, Ni, Ti, and TiN.

There is also provided, in accordance with an embodiment of the present application, a method of forming a semiconductor device, including: providing a transistor having a source/drain and a gate, wherein a dielectric material is formed over the transistor; performing a plurality of etch and deposition cycles to form a plurality of barrier layers of conductive contacts of the transistor, wherein each cycle comprises an etch process to etch openings in the dielectric material and a deposition process to deposit a respective barrier layer in the etched openings, wherein the barrier layers are formed to have different depths from one another; and filling the final opening etched by the last cycle with a conductive material, thereby forming a conductive contact of the transistor.

According to an embodiment of the application, at least some of the cycles further comprise a re-sputtering process performed between the etching process and the deposition process, and wherein the re-sputtering process removes a bottom section of the deposited barrier layer.

According to embodiments of the present application, the deposition process and the re-sputtering process are performed using the same semiconductor manufacturing tool.

According to an embodiment of the application, performing the plurality of etch and deposition cycles comprises: performing a first etch process to etch an opening in the dielectric material, wherein the opening is located over the source/drain or over the gate of the transistor; depositing a first barrier layer in the opening, the first barrier layer comprising sidewall segments connected by a bottom segment; removing a bottom section of the first barrier layer; after the bottom section of the first barrier layer is removed, performing a second etching process to extend the opening downward; depositing a second barrier layer in the opening after the second etching process, the second barrier layer comprising sidewall segments connected by a bottom segment, wherein portions of the sidewall segments of the second barrier layer are formed on the sidewall segments of the first barrier layer; removing a bottom section of the second barrier layer; after the bottom section of the second barrier layer is removed, performing a third etching process to further extend the opening downward; depositing a third barrier layer in the opening after the third etch process, the third barrier layer comprising sidewall segments connected by a bottom segment, wherein portions of the sidewall segments of the third barrier layer are formed on the sidewall segments of the second barrier layer; and filling the opening with a conductive material.

According to the embodiment of the application, the method further comprises the following steps: an annealing process is performed after depositing the third barrier layer but before filling the opening with the conductive material.

According to an embodiment of the present application, the first barrier layer, the second barrier layer, and the third barrier layer are formed to have different material compositions from each other.

According to an embodiment of the application, wherein: the first barrier layer is formed to contain TaN; the second barrier layer is formed to contain at least one of TaN, Ta, Ti, and TiN; and the third barrier layer is formed to include at least one of Co, Ni, Ti, and TiN.

According to an embodiment of the application, filling the opening comprises filling the opening with a tungsten-containing material, and wherein the tungsten-containing material is formed in direct physical contact with a sidewall segment and a bottom segment of the third barrier layer.

The foregoing has outlined features of several embodiments so that those skilled in the art may better understand the aspects of the present invention. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure. For example, by implementing different thicknesses for the bit line conductors and the word line conductors, different resistances of the conductors can be implemented. However, other techniques for changing the resistance of the metal conductor may also be utilized.

31页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:基于沟槽栅垂直浅超结的氮化镓基MOSFET器件及制作方法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!