EUV metal photoresist performance enhancement by additives

文档序号:1649062 发布日期:2019-12-24 浏览:18次 中文

阅读说明:本技术 通过添加剂的euv金属光刻胶性能增强 (EUV metal photoresist performance enhancement by additives ) 是由 訾安仁 郑雅如 张庆裕 于 2018-08-28 设计创作,主要内容包括:在晶圆上方形成光刻胶层。光刻胶层包括金属光刻胶材料和一种或多种添加剂。使用光刻胶层实施极紫外(EUV)光刻工艺。一种或多种添加剂包括:沸点高于约150摄氏度的溶剂、光酸产生剂、光碱产生剂、猝灭剂、光分解碱、热酸产生剂或光敏交联剂。本发明的实施例还涉及通过添加剂的EUV金属光刻胶性能增强。(A photoresist layer is formed over the wafer. The photoresist layer includes a metallic photoresist material and one or more additives. An Extreme Ultraviolet (EUV) lithography process is performed using the photoresist layer. The one or more additives include: a solvent having a boiling point greater than about 150 degrees celsius, a photoacid generator, a photobase generator, a quencher, a photolytic base, a thermal acid generator, or a photosensitive crosslinker. Embodiments of the invention also relate to EUV metal photoresist performance enhancement by additives.)

1. A photoresist material comprising:

a metal photoresist material for Extreme Ultraviolet (EUV) lithography; and

an additive;

wherein the additive comprises: a solvent having a boiling point above 150 ℃, a photoacid generator, a photobase generator, a quencher, a photolytic base, a thermal acid generator, or a photosensitive crosslinker.

2. The photoresist material of claim 1, wherein the solvent has a chemical formula selected from the group consisting of:

3. the photoresist material of claim 1, wherein the photoacid generator comprises a cationic component and an anionic component, wherein the cationic component has a formula selected from the group consisting of:

and wherein the anionic component has a formula selected from the group consisting of:

4. the photoresist material of claim 1, wherein the photobase generator has a chemical formula selected from the group consisting of:

5. the photoresist material of claim 1, wherein the quencher has a formula selected from the group consisting of:

6. the photoresist material of claim 1, wherein the photolytic base comprises a cationic component and an anionic component, wherein the cationic component has a formula selected from the group consisting of:

and wherein the anionic component has a formula selected from the group consisting of:

7. the photoresist material of claim 1, wherein the thermal acid generator has a chemical formula selected from the group consisting of:

8. the photoresist material of claim 1, wherein the photosensitive crosslinker has a formula selected from the group consisting of:

9. a lithographic method, comprising:

forming a photoresist layer over a wafer, wherein the photoresist layer comprises a metallic photoresist material and one or more additives; and

performing an Extreme Ultraviolet (EUV) lithography process using the photoresist layer;

wherein the one or more additives comprise: a solvent having a boiling point above 150 degrees celsius, a photoacid generator, a photobase generator, a quencher, a photolytic base, a thermal acid generator, or a photosensitive crosslinker.

10. A lithographic method, comprising:

receiving a metal-containing photoresist; and

adding one or more additives to the photoresist;

wherein the one or more additives comprise: a solvent having a boiling point above 150 degrees celsius, a photoacid generator, a photobase generator, a quencher, a photolytic base, a thermal acid generator, or a photosensitive crosslinker.

Technical Field

Embodiments of the invention relate to EUV metal photoresist performance enhancement by additives.

Background

The semiconductor Integrated Circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have resulted in generations of ICs, each of which has smaller and more complex circuits than the previous generation. In the course of IC evolution, the functional density (i.e., the number of interconnected devices per chip area) has increased, while the geometry (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. Such a scaling down process generally provides benefits by increasing production efficiency and reducing associated costs. This scaling down also increases the complexity of IC processing and manufacturing.

To achieve these advances, similar developments in IC processing and fabrication are required. For example, it is necessary to perform a higher resolution photolithography process. One lithography technique is Extreme Ultraviolet (EUV) lithography. EUV lithography employs a scanner using light in the extreme ultraviolet region, with wavelengths of about 1-100 nanometers (nm). Some EUV scanners provide 4X reduction projection printing, similar to some optical scanners, except that EUV scanners use reflective rather than refractive optics, i.e., mirrors rather than lenses. EUV scanners provide a desired pattern on an absorber layer formed on a reflective mask (the "EUV" mask absorber).

Despite the increasing popularity of EUV lithography, however, conventional EUV lithography may still have drawbacks. For example, a metal type photoresist may be used for EUV lithography. However, conventional metallic EUV photoresists may have problems with aging and Critical Dimension (CD) control.

Thus, while conventional EUV lithography is generally adequate for its intended purpose, it is not entirely satisfactory in every aspect.

Disclosure of Invention

An embodiment of the present invention provides a photoresist material, including: a metal photoresist material for Extreme Ultraviolet (EUV) lithography; and an additive; wherein the additive comprises: a solvent having a boiling point above 150 ℃, a photoacid generator, a photobase generator, a quencher, a photolytic base, a thermal acid generator, or a photosensitive crosslinker.

Another embodiment of the present invention provides a photolithography method, including: forming a photoresist layer over a wafer, wherein the photoresist layer comprises a metallic photoresist material and one or more additives; and performing an Extreme Ultraviolet (EUV) lithography process using the photoresist layer; wherein the one or more additives comprise: a solvent having a boiling point above 150 degrees celsius, a photoacid generator, a photobase generator, a quencher, a photolytic base, a thermal acid generator, or a photosensitive crosslinker.

Yet another embodiment of the present invention provides a photolithography method, including: receiving a metal-containing photoresist; and adding one or more additives to the photoresist; wherein the one or more additives comprise: a solvent having a boiling point above 150 degrees celsius, a photoacid generator, a photobase generator, a quencher, a photolytic base, a thermal acid generator, or a photosensitive crosslinker.

Drawings

Aspects of the invention are best understood from the following detailed description when read with the accompanying drawing figures. It should be noted that, in accordance with standard practice in the industry, various components are not drawn to scale. In fact, the dimensions of the various elements may be arbitrarily increased or decreased for clarity of discussion.

FIG. 1 is a schematic illustration of a lithography system constructed according to some embodiments of the invention.

Figure 2 is a cross-sectional view of an EUV mask constructed according to some embodiments of the invention.

Fig. 3 is a schematic partial cross-sectional side view of a semiconductor device at a stage of fabrication according to some embodiments of the invention.

Fig. 4 is a graph illustrating the problem of metal photoresist aging.

Fig. 5, 6A to 6B, 7, 8, 9A, 9B, 9C, 10, and 11A to 11B illustrate the chemical formulas of different types of additives that may be added to a metal photoresist according to an embodiment of the present invention.

Fig. 12-13 are schematic partial cross-sectional side views of semiconductor devices at various stages of fabrication according to some embodiments of the invention.

Fig. 14 is a flow chart of a method of fabricating a semiconductor device according to some embodiments of the invention.

Fig. 15 is a flow chart of a method of fabricating a semiconductor device according to some embodiments of the invention.

Detailed Description

The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to limit the invention. For example, in the following description, forming a first feature over or on a second feature may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. Moreover, the present disclosure may repeat reference numerals and/or characters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.

Also, spatially relative terms, such as "below …," "below …," "lower," "above …," "upper," and the like, may be used herein for ease of description to describe one element or component's relationship to another (or other) element or component as illustrated. Spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

Still further, when a number or range of numbers is described by "about," "approximately," etc., the term is intended to encompass numbers within a reasonable range including the number described, such as within +/-10% of the number or other value as understood by one of ordinary skill in the art. For example, the term "about 5 nm" encompasses the size range of 4.5nm to 5.5 nm.

The advanced photolithography processes, methods, and materials described above may be used in many applications, including fin field effect transistors (finfets). For example, the fins may be patterned to create relatively tight spacing between features, for which the disclosure above is well suited. Additionally, spacers (also referred to as mandrels) used to form the fins of the finfets may be processed in accordance with the above disclosure.

Extreme Ultraviolet (EUV) lithography has been widely used due to its ability to achieve small semiconductor device dimensions. However, conventional systems and methods of implementing EUV lithography may still have drawbacks. For example, EUV lithography may use a metal photoresist. Metal photoresists may provide advantages in resolution or sensitivity over conventional chemically amplified photoresists. Despite these advantages, metal photoresists for EUV lithography may also have defects such as aging-related problems and/or poor Critical Dimension (CD) control. Therefore, the metal photoresists currently used in EUV lithography are still not satisfactory in every aspect.

The inventors of the present invention have found that certain additives may be added to a metal photoresist in EUV lithography to improve the performance of the metal photoresist. By way of example, such additives may include, but are not limited to, high boiling solvents, photoacid generators, photobase generators, general quenchers, photolytic bases, thermal acid generators, or photosensitive crosslinkers. These materials are not present in conventional metal photoresists for EUV lithography. Here, by adding these additives, the shelf life of the metal photoresist can be extended by more than one month, and the CD control of the metal photoresist can be tightened to less than 10% change of the CD target.

These various aspects of the invention are discussed in more detail below. First, an EUV lithography system is discussed below with reference to fig. 1 and 2. Next, details of various additives are described with reference to fig. 3 to 14, according to an embodiment of the present invention.

FIG. 1 is a schematic diagram of an EUV lithography system 10 constructed in accordance with some embodiments. The EUV lithography system 10 may also be referred to generally as a scanner configured to perform a lithographic exposure process with a corresponding radiation source and exposure mode. The EUV lithography system 10 is designed to expose a photoresist layer by EUV light or EUV radiation. The photoresist layer is a material sensitive to EUV light. The EUV lithography system 10 employs a radiation source 12 to generate EUV light, for example EUV light having a wavelength range between about 1nm and about 100 nm. In one particular example, the radiation source 12 produces EUV light having a wavelength centered at about 13.5 nm. Hence, the radiation source 12 is also referred to as EUV radiation source 12.

The lithography system 10 also employs an illuminator 14. In various embodiments, the illuminator 14 comprises various refractive optical components, such as a single lens or a lens system having multiple lenses (zone plates), or alternatively reflective optics (for EUV lithography systems), such as a single mirror or a mirror system having multiple mirrors, to direct light from the radiation source 12 onto a mask table 16, in particular a mask 18 fixed to the mask table 16. In the present embodiment, in which the radiation source 12 produces light in the EUV wavelength range, the illuminator 14 employs reflective optics. In some embodiments, illuminator 14 comprises a dipole illumination assembly.

In some embodiments, illuminator 14 is used to configure a mirror to provide appropriate illumination to mask 18. In one example, the mirrors of the illuminator 14 may be switched to reflect EUV light to different illumination locations. In some embodiments, the stage before the illuminator 14 may additionally include other switchable mirrors that are controllable to direct EUV light to different illumination positions than the mirrors of the illuminator 14. In some embodiments, the illuminator 14 is configured to provide on-axis (ONI) illumination to the mask 18. In one example, a disk illuminator 14 with a partial coherence σ of 0.3 maximum is used. In some other embodiments, the illuminator 14 is configured to provide off-axis illumination (OAI) to the mask 18. In one example, the illuminator 14 is a dipole illuminator. In some embodiments, the dipole illuminator has a partial coherence σ of at most 0.3.

Lithography system 10 also includes a mask table 16 configured to hold a mask 18. In some embodiments, mask stage 16 includes an electrostatic chuck (e-chuck) for holding mask 18. This is because the gas molecules absorb EUV light and the lithography system used for EUV lithographic patterning is kept in a vacuum environment to avoid loss of EUV intensity. In the present invention, the terms of mask, photomask and reticle are used interchangeably to refer to the same item.

In the present embodiment, the lithography system 10 is an EUV lithography system, and the mask 18 is a reflective mask. One exemplary structure of the mask 18 is provided for illustration. The mask 18 comprises a substrate of a suitable material, such as a Low Thermal Expansion Material (LTEM) or fused silica. In various examplesIn, the LTEM comprises TiO2Doped SiO2Or other suitable materials having low thermal expansion. In some embodiments, the LTEM includes 5% -20% TiO by weight2And has a density of less than about 1.0 x 10-6Coefficient of thermal expansion/° c. For example, in some embodiments, TiO of LTEM2Doped SiO2The coefficient of thermal expansion of the material is such that it changes by less than sixty parts per billion for every 1 degree celsius change in temperature. Of course, compounds having TiO or less may also be used2Doped SiO2Other suitable materials of thermal expansion coefficient.

Mask 18 also includes a reflection ML deposited on the substrate. The ML includes a plurality of film pairs, such as molybdenum-silicon (Mo/Si) film pairs (e.g., a molybdenum layer in each film pair is located above or below a silicon layer). Alternatively, the ML may include molybdenum-beryllium (Mo/Be) film pairs or other suitable materials that may Be configured to Be highly reflective of EUV light.

Mask 18 may also include a capping layer, such as ruthenium (Ru), disposed on the ML for protection. Mask 18 also includes an absorber layer deposited over the ML. The absorber layer is patterned to define layers of an Integrated Circuit (IC). Alternatively, another reflective layer may be deposited over the ML and patterned to define layers of an integrated circuit to form an EUV phase shift mask.

The lithography system 10 further includes a projection optics module (or Projection Optics Box (POB))20 for imaging a pattern of the mask 18 onto a semiconductor substrate (as an example of a target 26) held on a substrate table 28 of the lithography system 10. In various embodiments, the POB 20 has refractive optics (such as for a UV lithography system) or alternatively reflective optics (such as for an EUV lithography system). Light directed from the mask 18 (diffracted into various diffraction orders and carrying images of the pattern defined on the mask) is collected by the POB 20. The POB 20 can include a magnification ratio that is less than 1 (whereby the size of an "image" on a target (such as target 26 discussed below) is less than the size of a corresponding "object" on the mask). The illuminator 14 and the POB 20 are collectively referred to as an optical module of the lithography system 10.

The lithography system 10 further includes a pupil phase modulator 22 to modulate the optical phase of the light directed from the mask 18 such that the light has a phase distribution on a projection pupil plane 24. In the optical module, there is a plane having a field distribution corresponding to the fourier transform of the object (in this case, the mask 18). This plane is called the projection pupil plane. The pupil phase modulator 22 provides a mechanism to modulate the optical phase of the light on the projection pupil plane 24. In some embodiments, the pupil phase modulator 22 includes a mechanism for adjusting the mirrors of the POB 20 for phase modulation. For example, the mirrors of the POB 20 are switchable and controlled to reflect EUV light, thereby modulating the phase of the light passing through the POB 20.

In some embodiments, pupil phase modulator 22 utilizes a pupil filter placed on the projection pupil plane. The pupil filter filters out certain spatial frequency components of the EUV light from the mask 18. In particular, the pupil filter is a phase pupil filter for modulating the phase distribution of the light guided through the POB 20. However, the use of phase pupil filters is limited in certain lithography systems (such as EUV lithography systems) because all materials absorb EUV light.

As described above, the lithography system 10 further includes a substrate table 28 to hold a target 26 to be patterned, such as a semiconductor substrate. In the present embodiment, the semiconductor substrate is a semiconductor wafer, such as a silicon wafer or other type of wafer. A target 26, such as a substrate, is coated with a photoresist layer that is sensitive to a radiation beam, such as EUV light in this embodiment. Various components including those described above are integrated together and used to perform the lithographic exposure process. The lithography system 10 may further include or may be integrated with (or coupled to) other modules.

Mask 18 and methods of making the same are further described according to some embodiments. In some embodiments, the mask manufacturing process includes two operations: a blank mask manufacturing process and a mask patterning process. During the photomask manufacturing process, the photomask blank is formed by depositing appropriate layers (e.g., a reflective multilayer) on a suitable substrate. The blank mask is then patterned during a mask patterning process to achieve a desired design of layers of an Integrated Circuit (IC). The patterned mask is then used to transfer a circuit pattern (e.g., the design of an IC layer) onto a semiconductor wafer. Patterns can be repeatedly transferred to multiple wafers by various photolithography processes. A set of masks is used to build a complete IC.

In various embodiments, mask 18 comprises suitable structures, such as a Binary Intensity Mask (BIM) and a Phase Shift Mask (PSM). An example BIM includes an absorbing region (also referred to as an opaque region) and a reflecting region patterned to define an IC pattern to be transferred to a target. In the opaque region, an absorber is present, and the incident light is almost entirely absorbed by the absorber. In the reflective region, the absorber is removed and the incident light is diffracted by the Multilayer (ML). The PSM may be attenuating PSM (AttPSM) or alternating PSM (AltPSM). An exemplary PSM includes a first reflective layer (such as a reflective ML) and a second reflective layer patterned according to an IC pattern. In some examples, an AttPSM typically has a reflectivity of 2% -15% from its absorber, while an AltPSM typically has a reflectivity of greater than 50% from its absorber.

An example of the mask 18 is shown in fig. 2. The mask 18 in the illustrated embodiment is an EUV mask and includes a substrate 30 made of LTEM. The LTEM material may include TiO2Doped SiO2And/or other low thermal expansion materials known in the art. In some embodiments, a conductive layer 32 is additionally disposed below the backside of the LTEM substrate 30 for electrostatic clamping purposes. In one example, the conductive layer 32 includes chromium nitride (CrN). In other embodiments, other suitable compositions are possible, such as tantalum-containing materials.

The EUV mask 18 includes a reflective multilayer structure 34 disposed over the LTEM substrate 30. The reflective multilayer structure 34 may be selected such that it provides high reflectivity for a selected radiation type/wavelength. The reflective multilayer structure 34 includes a plurality of film pairs, such as Mo/Si film pairs (e.g., a molybdenum layer in each film pair is located above or below a silicon layer). Alternatively, the reflective multilayer structure 34 may comprise a Mo/Be film pair, or any material whose refractive index difference is highly reflective at EUV wavelengths.

Still referring to FIG. 2, the EUV mask 18 also includes a capping layer 36 disposed over the reflective multilayer structure 34 to prevent oxidation of the ML. In one embodiment, capping layer 36 comprises silicon having a thickness in the range of about 4nm to about 7 nm. The EUV mask 18 may further include a buffer layer 38 disposed over the capping layer 36 to serve as an etch stop layer in a patterning or repair process of the absorber layer to be described later. The buffer layer 38 has different etching characteristics from the absorber layer disposed above it. In various examples, the buffer layer 38 includes ruthenium (Ru), Ru compounds (such as RuB, RuSi), chromium (Cr), chromium oxide, and chromium nitride.

The EUV mask 18 also includes an absorber layer 40 (also referred to as an absorber layer) formed over the buffer layer 38. In some embodiments, the absorber layer 40 absorbs EUV radiation directed onto the mask. In various embodiments, the absorber layer may be made of tantalum nitride (TaBN), tantalum boron oxide (TaBO), chromium (Cr), radium (Ra), or a suitable oxide or nitride (or alloy) of one or more of the following materials: radium, tellurium, zinc, copper and aluminum.

Fig. 3 and 12-13 show simplified schematic partial cross-sectional side views of a semiconductor device 100 at various stages of fabrication according to embodiments of the present invention. Referring to fig. 3, the semiconductor device 100 includes a substrate 140. In some embodiments, the substrate 140 is a silicon substrate (e.g., a p-type substrate) doped with a p-type dopant such as boron. Alternatively, the substrate 140 may be another suitable semiconductor material. For example, the substrate 140 may be a silicon substrate (n-type substrate) doped with an n-type dopant such as phosphorus or arsenic. Substrate 140 may include other elemental semiconductors such as germanium and diamond. The substrate 140 may optionally include a compound semiconductor and/or an alloy semiconductor. Further, the substrate 140 may include an epitaxial layer (epi layer), may be strained for performance enhancement, and may include a silicon-on-insulator (SOI) structure.

In some embodiments, the substrate 140 is substantially conductive or semiconductive. The resistance may be less than about 103Ohm-meter. In some embodiments, substrate 140 comprises a material having formula MXaWherein M is a metal and X is N, S, Se, O, Si, and wherein "a" is in the range of about 0.4 to 2.5. For example, the substrate 140 mayTo contain Ti, Al, Co, Ru, TiN, WN2Or TaN.

In some other embodiments, the substrate 140 comprises a dielectric material having a dielectric constant in the range of about 1 to about 140. In some other embodiments, substrate 140 comprises Si, a metal oxide, or a metal nitride, wherein the formula is MXbWherein M is a metal or Si, and X is N or O, and wherein "b" is in the range of about 0.4 to 2.5. For example, the substrate 140 may comprise SiO2Silicon nitride, aluminum oxide, hafnium oxide, or lanthanum oxide.

A material layer 150 is formed over the substrate 140. The material layer 150 may be patterned by a photolithography process and may therefore also be referred to as a patternable layer. In an embodiment, the material layer 150 comprises a dielectric material, such as silicon oxide or silicon nitride. In another embodiment, the material layer 150 comprises a metal. In yet another embodiment, the material layer 150 comprises a semiconductor material.

In some embodiments, the material layer 150 has different optical properties than the photoresist. For example, the material layer 150 has a different value of n, k, or T than the photoresist. In some embodiments, the material layer 150 includes at least one of a different polymer structure, an acid labile molecule, a PAG (photo-acid generator) loading, a quencher loading, a chromophore, a crosslinker, or a solvent that results in a different value of n than the photoresist. In some embodiments, the material layer 150 and the photoresist have different etch resistances. In some embodiments, the material layer 150 contains etch-resistant molecules. The molecule comprises a low radix structure, a double bond, a triple bond, silicon nitride, Ti, TiN, Al, alumina, SiON, or combinations thereof. It should be understood that in other embodiments, each of the substrate 140 and the material layer 150 may include additional suitable material compositions.

A photoresist layer 160 is formed over the substrate 140, and in particular, over the material layer 150. For example, the photoresist layer 160 may be formed by a spin coating process 170. The photoresist layer 160 comprises a metal photoresist material configured for EUV lithography. For example, the metal photoresist material is sensitive to a radiation source, such as radiation source 12 discussed above in connection with FIG. 1. The metallic component in the metallic photoresist material may enhance EUV sensitivity.

The photoresist layer 160 may have a single layer structure or a multi-layer structure. In one embodiment, the photoresist layer 160 comprises a metallic photoresist material that chemically decomposes (and/or changes polarity) after the metallic photoresist material is exposed to a radiation source (e.g., radiation source 12) and subsequently becomes soluble in a developer. Alternatively, the photoresist layer 160 comprises a metal photoresist material that polymerizes (and/or crosslinks) after the metal photoresist material is exposed to a radiation source (e.g., radiation source 12) and subsequently becomes insoluble in a developer.

Referring now to fig. 4, in some embodiments, the metal photoresist material of the photoresist layer 160 has a structure 200. The structure 200 may be a particle (e.g., a cluster) including a core group 204 surrounded by a plurality of ligands 212. In the embodiment shown in fig. 4, the dashed lines represent ionic, covalent, metallic, or van der waals bonds between the core group 204 and the ligand 212. In many embodiments, the core group 204 includes at least one metal element in the form of a pure metal (i.e., a metal atom), a metal ion, a metal compound (e.g., a metal oxide, a metal nitride, a metal oxynitride, a metal silicide, a metal carbide, etc.), a metal alloy (e.g., a combination of multiple metal elements), or a combination thereof. In some embodiments, the core group 204 comprises a metal element selected from the group consisting of: zirconium, lanthanum, manganese, copper, tantalum, tungsten, hafnium, tin, aluminum, titanium, copper, cobalt or other suitable elements. In some embodiments, the core group 204 may include a metal oxide (e.g., zirconia) or a pure metal atom (e.g., a tin atom). In some embodiments, the core group 204 includes a positively charged metal ion.

The ligands 212 may be the same or different from each other. In some embodiments, the ligand may include a linear or cyclic alkyl, alkoxy, carboxylic acid, alkene, or other functional group each having 1 to 12 carbon atoms. In the depicted embodiment, the structure 200 includes a core group 204 organized into particles (i.e., clusters) and a plurality of ligands 212 (embodiments are not limited to four ligands 212 as shown in fig. 4).

The inventors of the present invention have discovered that metal photoresist materials can have aging related problems. For example, over time (e.g., aging), the ligands 212 of the metal photoresist may become unstable and may fall off or separate. In other words, the metal photoresist material may lose one or more ligands 212 over time. Also shown in figure 4 is a "dropped" ligand. As a result, the metal photoresist material undergoes a chemical change. For example, as the ligand 212 dissociates from the core group 204 due to aging, a larger particle (i.e., structure 220) is formed that includes a plurality (e.g., two or more) of core groups 204 and the ligand 212 surrounding the core groups 204. This is undesirable and may degrade the performance of the metal photoresist material.

In addition to aging, the present inventors have discovered another problem associated with metal photoresist materials, namely Critical Dimension (CD) control. For example, if the metal photoresist material is from different batches, the critical dimensions of the metal photoresist material may unacceptably vary even if these different batches are provided by the same supplier. The critical dimension control problem may become worse if the metal photoresist material is from a different supplier. As semiconductor manufacturing moves into smaller technology nodes, poor critical dimension control may lead to reduced performance of the semiconductor device or possibly even device failure.

To combat the aging and/or critical dimension control problems discussed above, the inventors of the present invention have configured the metal photoresist material of the photoresist layer by adding one or more additives to the metal photoresist material. In other words, the photoresist layer 160 includes a metal photoresist material and one or more additives. As discussed in more detail below, the additives significantly improve aging and/or critical dimension control issues.

Referring to fig. 5, in some embodiments, an additive includes a high boiling point solvent 310. In some embodiments, the concentration of the high boiling point solvent 310 in the photoresist layer 160 is in a range of about 1% and about 20%, such as between about 3% and about 15%. The high boiling point solvent 310 having a specifically configured concentration range prevents or mitigates aging problems of the metal photoresist material. In some embodiments, high boiling point solvent 310 has a boiling point temperature greater than about 150 degrees celsius.

In some embodiments, high boiling point solvent 310 comprises cyclohexyl acetate (CHAX), Dimethoxymethane (DMM), Propylene Glycol Diacetate (PGDA), dipropylene glycol methyl n-propyl (DPMNP), dipropylene glycol methyl ether acetate (DPMA), 1, 4-butanediol diacrylate (1,4-BDDA), 1, 3-butanediol diacetate (1,3-BGDA), 1, 6-hexanediol diacrylate (1,6-HDDA), tripropylene glycol methyl ether (TPM), 1, 3-propanediol, propylene glycol, or combinations thereof. In various embodiments, the high boiling point solvent 310 may have one of the following chemical compositions or formulas (also shown in fig. 5):

as shown in fig. 6A-6B, in some embodiments, the additive includes a photoacid generator (PAG). Due to the addition of the PAG, the acid will diffuse around the surface area of the photoresist layer 160 after exposure or thermal treatment. In some embodiments, the concentration of PAG in the photoresist layer 160 is in the range of about 0.01% and about 10%, such as between about 1% and about 10%. The PAG having this specifically configured concentration range prevents or mitigates aging problems and/or CD control problems of the metal photoresist material.

The PAG includes a cation 320A (shown in fig. 6A) and an anion 320B (shown in fig. 6B). In some embodiments, the cation 320A can have one of the following formulas:

in some embodiments, anion 320B can have one of the following formulas:

referring to fig. 7, in some embodiments, the additive includes a photobase generator (PBG) 330. In some embodiments, the concentration of PBG in the photoresist layer 160 is in a range between about 0.01% and about 10%, such as between about 0.1% and about 5%. The PBG having this particular configured concentration range prevents or mitigates aging problems and/or CD control problems of the metal photoresist material. In some embodiments, the PBG can have one of the following formulas:

referring to fig. 8, in some embodiments, the additive includes a quencher 340. In some embodiments, the concentration of the quencher in the photoresist layer 160 is in a range between about 0.01% to about 10%, such as between about 0.1% to about 5%. Quenchers having specifically configured concentration ranges can prevent or mitigate aging problems and/or CD control problems of the metal photoresist material. In some embodiments, the quencher can have one of the following formulas:

in some embodiments, the additive comprises a photolytic base 350. In some embodiments, the concentration of the photolytic base 350 in the photoresist layer 160 is in a range between about 0.01% and about 10%, such as between about 0.1% and about 5%. Photolytic base 350 having this particular configured concentration range prevents or mitigates aging problems and/or CD control problems of the metal photoresist material. In some embodiments, photolytic base 350 can be represented by the following general formula (as shown in fig. 9A):

in the above formula, R1Represents an alicyclic group of 5 or more carbon atoms which may have a substituent; x represents a divalent linking group; y represents a linear, branched or cyclic alkylene group or arylene group, and Rf represents a hydrocarbon group containing a fluorine atom;M+represents an organic cation or a metal cation. In some embodiments, the cation may have one of the following formulas (also shown in fig. 9B):

in some embodiments, the photolytic base further comprises an anion represented by the formula shown in figure 9AAnd (4) showing. The anion may have one of the following formulas (also shown in fig. 9C):

wherein in the above chemical formulaRepresents nitrogen, in particular, negatively charged nitrogen (in which the negative charge is formed byRepresentation).

Referring now to fig. 10, in some embodiments, the additive includes a Thermal Acid Generator (TAG) 360. In some embodiments, the TAG 360 has a loading of about 1% -10% by weight of the metal photoresist. In some embodiments, the TAG 360 has a reaction temperature within about plus or minus 20 degrees celsius of the Post Exposure Bake (PEB) temperature of the photoresist layer 160 (the PEB process will be performed in subsequent steps). In some embodiments, the concentration of TAG 360 in the photoresist layer 160 is in a range between about 0.01% and about 10%. The TAG 360 having a specifically configured concentration range prevents or mitigates aging issues and/or CD control issues of the metal photoresist material. In some embodiments, the TAG has one of the following formulas:

referring now to fig. 11A-11B, in some embodiments, the additive includes a photosensitive cross-linking agent 370. In some embodiments, the concentration of the photosensitive crosslinking agent 370 in the photoresist layer 160 is in a range between about 0.01% and about 10%, such as between about 1% and about 10%. The photosensitive cross-linking agent 370 having this specifically configured concentration range prevents or alleviates the CD control problem of the metal photoresist material. In some embodiments, the photosensitive crosslinking agent can have the following formula (also shown in fig. 11A):

wherein FG' represents a first functional group selected from a primary amine, a secondary amine, a hydroxyl, an amide, an ester, an epoxide, an episulfide or an anhydride; wherein L is3Is prepared by reacting FG' with Sulfo (SO)2) A linking group to which the groups are covalently bonded; wherein R is2And R3Can be the same or different carbon-containing moieties, or combine to form a carbon-containing ring, provided that R2And R3Is substituted with at least one FG'.

In some embodiments, the photosensitive crosslinking agent may have the following formula (also shown in fig. 11B):

wherein FG' represents a first functional group selected from a primary amine, a secondary amine, a hydroxyl, an amide, an ester, an epoxide, an episulfide or an anhydride; wherein W is selected from a carbonyl (C ═ O) group or a Sulfonyl (SO) group2) A group; wherein L is4Is a linking group covalently bonding FG' to W; and wherein R4、R5、R6、R7And R8Independently selected from H; a halide; (ii) a A substituted or unsubstituted alkyl, cycloalkyl, aryl, alkaryl, or ether group; a haloalkyl group; a heteroaryl group; an alkoxy group; or a combination thereof, provided that R4、R5、R6、R7And R8At least one of them contains a compound selected from primaryAmine, secondary amine, hydroxyl, amide, ester, epoxide, episulfide, and anhydride functionalities.

Referring now to fig. 12, a development process 500 is performed to pattern the photoresist layer 160. Assuming a positive tone photoresist is used, the exposed portions of the photoresist layer 160 are removed after the development process 500 because exposure to EUV light changes the chemical structure of the photoresist and makes it more soluble in a developer solution. Meanwhile, unexposed portions of the photoresist layer 160 remain, thereby forming openings 510 instead of the removed portions. On the other hand, if the photoresist layer 160 is a negative photoresist, the exposed portions will remain after the developing process 500, while the unexposed portions are removed. In any case, the material layer 150 thereunder may now be patterned in a subsequent manufacturing process using the patterned photoresist layer 160. In some embodiments, the lateral dimension 530 of the opening 510 may define a Critical Dimension (CD) of a feature of the semiconductor device 100. In other embodiments, the lateral dimension 540 of the assembly of patterned photoresist layers 160 may define the CD of the features of the semiconductor device 100.

Referring now to fig. 13, a patterning process 600 is performed to pattern the material layer 150. The photoresist layer 160 serves as a mask for patterning the material layer 150. Dimensions 530 and 540 are transferred to material layer 150. As described above, various additives added to the metal photoresist layer 160 allow for more robust CD control (e.g., achieve less CD variation). In addition, the aging problems discussed above are substantially alleviated, which also improves the performance of the photoresist layer 160.

Fig. 14 is a flow chart illustrating a simplified method 700 of fabricating a semiconductor device. The method 700 includes a step 710 of receiving a metal-containing photoresist. For example, the photoresist may be an EUV metal photoresist. In some embodiments, the metal photoresist has a structure comprising a core group surrounded by a plurality of ligands (e.g., as shown in fig. 4). Due to aging, at least some of the ligands are separated from the core groups.

The method 700 includes a step 720 of adding one or more additives to the photoresist. The one or more additives include: a solvent having a boiling point greater than about 150 degrees celsius, a photoacid generator, a photobase generator, a quencher, a photolytic base, a thermal acid generator, or a photosensitive crosslinker. The chemical formulas of these additives are discussed above in connection with fig. 5-11.

In some embodiments, method 700 is performed by a photoresist vendor/supplier that manufactures metal photoresist. In other embodiments, method 700 is implemented by a semiconductor manufacturer that receives a metal photoresist (without additives) manufactured by a vendor/supplier and that adds one or more additives to the metal photoresist.

It should be understood that additional fabrication processes may be performed before, during, or after steps 710-720 of FIG. 14. For example, method 700 may include the step of performing an Extreme Ultraviolet (EUV) lithography process using the photoresist after one or more additives have been added to the photoresist.

Fig. 15 is a flow chart illustrating a simplified method 800. The method 800 includes a step 810 of forming a photoresist layer over the wafer. The photoresist layer includes a metallic photoresist material and one or more additives. In some embodiments, the one or more additives comprise: a solvent having a boiling point greater than about 150 degrees celsius, a photoacid generator, a photobase generator, a quencher, a photolytic base, a thermal acid generator, or a photosensitive crosslinker.

The method 800 includes a step 820 of performing an Extreme Ultraviolet (EUV) lithography process using the photoresist layer.

In some embodiments, the solvent has the formula discussed above in connection with fig. 5.

In some embodiments, the photoacid generator has the chemical formula discussed above in connection with fig. 6A-6B.

In some embodiments, the photobase generator has the formula discussed above in connection with fig. 7.

In some embodiments, the quencher has the formula discussed above in connection with fig. 8.

In some embodiments, the photolytic base has the chemical formula discussed above in connection with fig. 9A-9B.

In some embodiments, the thermal acid generator has the chemical formula discussed above in connection with fig. 10.

In some embodiments, the photosensitive crosslinking agent has the formula discussed above in connection with fig. 11A-11B.

It should be understood that additional manufacturing processes may be performed before, during, or after step 810-820 of FIG. 15. For example, the method 800 may include semiconductor manufacturing processes such as ion implantation, deposition, etching, and the like. For simplicity, these other processes are not discussed in detail herein.

Based on the above discussion, it can be seen that the present invention provides various advantages in EUV lithography. However, it should be understood that not all advantages need be discussed herein, and that other embodiments may provide different advantages, and that no particular advantage is required for all embodiments. One of the advantages is better aging performance of EUV metal photoresists. The problem of separation of the ligand from the core group is substantially alleviated by the addition of one or more of the additives described above. As a result, the EUV metal photoresist (with the additive added thereto) may have a significantly longer shelf life (e.g., 1 month or more) compared to the conventional EUV metal photoresist without the additive added thereto. Another advantage is better CD control. By adding one or more of the above additives, the CD control of the metal photoresist can be tightened to within 10% of the CD target variation. Another advantage is that the process discussed herein is compatible with existing manufacturing process flows and is easy to implement.

The invention provides a material. The material comprises: metal photoresist materials for Extreme Ultraviolet (EUV) lithography, and additives. The additive comprises: a solvent having a boiling point greater than about 150 ℃, a photoacid generator, a photobase generator, a quencher, a photolytic base, a thermal acid generator, or a photosensitive crosslinking agent.

In the above material, wherein the solvent has a chemical formula selected from the group consisting of:

in the above material, wherein the photoacid generator comprises a cationic component and an anionic component, wherein the cationic component has a chemical formula selected from the group consisting of:

and wherein the anionic component has a formula selected from the group consisting of:

in the above material, wherein the photobase generator has a chemical formula selected from the group consisting of:

in the above material, wherein the quencher has a formula selected from the group consisting of:

in the above material, wherein the photolytic base comprises a cationic component and an anionic component, wherein the cationic component has a chemical formula selected from the group consisting of:

and wherein the anionic component has a formula selected from the group consisting of:

in the above material, wherein the thermal acid generator has a chemical formula selected from the group consisting of:

in the above material, wherein the photosensitive crosslinking agent has a chemical formula selected from the group consisting of:

the invention also provides a method. A photoresist layer is formed over the wafer. The photoresist layer includes a metallic photoresist material and one or more additives. Extreme Ultraviolet (EUV) lithography is performed using the photoresist layer. The one or more additives include: a solvent having a boiling point greater than about 150 degrees celsius, a photoacid generator, a photobase generator, a quencher, a photolytic base, a thermal acid generator, or a photosensitive crosslinker.

In the above method, wherein the solvent has a chemical formula selected from the group consisting of:

in the above method, wherein the photoacid generator comprises a cationic component and an anionic component, wherein the cationic component has a chemical formula selected from the group consisting of:

and wherein the anionic component has a formula selected from the group consisting of:

in the above method, wherein the photobase generator has a chemical formula selected from the group consisting of:

in the above method, wherein the quencher has a chemical formula selected from the group consisting of:

in the above method, wherein the photolytic base comprises a cationic component and an anionic component, wherein the cationic component has a chemical formula selected from the group consisting of:

and wherein the anionic component has a formula selected from the group consisting of:

in the above method, wherein the thermal acid generator has a chemical formula selected from the group consisting of:

in the above method, wherein the photosensitive crosslinking agent has a chemical formula selected from the group consisting of:

the invention also provides a method. A metal-containing photoresist is received. One or more additives are added to the photoresist. The one or more additives include: a solvent having a boiling point greater than about 150 degrees celsius, a photoacid generator, a photobase generator, a quencher, a photolytic base, a thermal acid generator, or a photosensitive crosslinker.

In the above method, further comprising: after adding the one or more additives to the photoresist, an Extreme Ultraviolet (EUV) lithography process is performed using the photoresist.

In the above method, wherein the photoresist has a structure comprising a core group surrounded by a plurality of ligands, and wherein at least some of the ligands detach from the core group as a result of aging.

In the above method, wherein the solvent has a chemical formula selected from the group consisting of:

wherein the photoacid generator comprises a cationic component and an anionic component, wherein the cationic component has a chemical formula selected from the group consisting of:

wherein the anionic component has a formula selected from the group consisting of:

wherein the photobase generator has a chemical formula selected from the group consisting of:

wherein the quencher has a formula selected from the group consisting of:

wherein the photolytic base comprises a cationic component and an anionic component, wherein the cationic component has a chemical formula selected from the group consisting of:

and wherein the anionic component has a formula selected from the group consisting of:

wherein the thermal acid generator has a chemical formula selected from the group consisting of:

wherein the photosensitive crosslinking agent has a chemical formula selected from the group consisting of:

the foregoing has outlined features of several embodiments so that those skilled in the art may better understand the aspects of the present invention. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

38页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:光阻化合物

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类