Method for the vapor deposition of ruthenium using oxygen-free coreactants

文档序号:1145679 发布日期:2020-09-11 浏览:31次 中文

阅读说明:本技术 使用无氧共反应物气相沉积钌的方法 (Method for the vapor deposition of ruthenium using oxygen-free coreactants ) 是由 雅各布·伍德拉夫 刘国 拉文德拉·坎乔利亚 于 2019-02-07 设计创作,主要内容包括:提供了通过原子层沉积和/或化学气相沉积形成含钌膜的方法。所述方法包括:将至少一种前体和无氧共反应物,比如肼或烷基肼,递送到基材以形成含钌膜,其中该至少一种前体的结构对应于式I:(L)Ru(CO)<Sub>3</Sub>,其中L选自由直链或支链C<Sub>2</Sub>-C<Sub>6</Sub>-烯基和直链或支链C<Sub>1</Sub>-C<Sub>6</Sub>-烷基组成的组;并且其中L任选地被独立地选自由C<Sub>2</Sub>-C<Sub>6</Sub>-烯基、C<Sub>1</Sub>-C<Sub>6</Sub>-烷基、烷氧基和NR<Sup>1</Sup>R<Sup>2</Sup>组成的组的一个或多个取代基取代;其中R<Sup>1</Sup>和R<Sup>2</Sup>独立地是烷基或氢;并且在真空下或在惰性气体比如Ar、N<Sub>2</Sub>,或还原气体比如H<Sub>2</Sub>,或其组合的存在下将该含钌膜退火。(Methods of forming ruthenium containing films by atomic layer deposition and/or chemical vapor deposition are provided. The method comprises the following steps: delivering at least one precursor and an oxygen-free co-reactant, such as hydrazine or an alkyl hydrazine, to a substrate to form a ruthenium-containing film, wherein the at least one precursor corresponds in structure to formula I: (L) Ru (CO) 3 Wherein L is selected from the group consisting of straight or branched C 2 ‑C 6 Alkenyl and straight or branched C 1 ‑C 6 -alkyl groups; and wherein L is optionally independently selected from the group consisting of C 2 ‑C 6 -alkenyl, C 1 ‑C 6 Alkyl, alkoxy and NR 1 R 2 Substituted with one or more substituents of the group; wherein R is 1 And R 2 Independently is alkyl or hydrogen; and under vacuum or in an inert gas such as Ar, N 2 Or a reducing gas such as H 2 Or combinations thereof.)

1. A method of forming a ruthenium-containing film by Atomic Layer Deposition (ALD) or a combination of ALD and Chemical Vapor Deposition (CVD), the method comprising:

delivering at least one precursor and an oxygen-free co-reactant to a substrate to form the ruthenium-containing film, wherein the at least one precursor corresponds in structure to formula I:

(L)Ru(CO)3

(formula I)

Wherein L is selected from the group consisting of straight or branched C2-C6Alkenyl and straight or branched C1-C6-alkyl groups; and wherein L is optionally independently selected from the group consisting of C2-C6-alkenyl, C1-C6Alkyl, alkoxy and NR1R2Substituted with one or more substituents of the group; wherein R is1And R2Independently is alkyl or hydrogen; and is

The ruthenium containing film is annealed under vacuum or in the presence of an inert gas or a reducing gas or a combination thereof.

2. The process of claim 1, wherein the oxygen-free co-reactant is selected from the group consisting of NH3、H2Hydrazine, alkyl hydrazine, preferably hydrazine or alkyl hydrazine.

3. A method for forming a ruthenium-containing film by CVD, the method comprising:

delivering at least one precursor and a non-oxygen co-reactant selected from the group consisting of hydrazine and alkyl hydrazines to a substrate to form the ruthenium containing film, wherein the at least one precursor corresponds in structure to formula I:

(L)Ru(CO)3

(formula I)

Wherein L is selected from the group consisting of straight or branched C2-C6Alkenyl and straight or branched C1-C6-alkyl groups; and wherein L is optionally independently selected from the group consisting of C2-C6-alkenyl, C1-C6Alkyl, alkoxy and NR1R2Substituted with one or more substituents of the group; wherein R is1And R2Independently is alkyl or hydrogen; and is

The ruthenium containing film is annealed under vacuum or in the presence of an inert gas or a reducing gas or a combination thereof.

4. The process of any one of claims 2 to 4, wherein the alkylhydrazine is C1-C8Alkyl hydrazines, preferably C1-C4-alkyl hydrazines.

5. The method of any one of the preceding claims, wherein the inert gas comprises Ar or N2And the reducing gas comprises H2

6. A method according to any preceding claim, wherein the substrate temperature is from about 150 ℃ to about 350 ℃, preferably from about 200 ℃ to about 250 ℃.

7. The method of any one of the preceding claims, wherein the annealing is performed at about 300 ℃ to about 500 ℃ under vacuum or in the presence of an inert gas or a reducing agent, or a combination of an inert gas and a reducing agent.

8. The method of claim 7, wherein the annealing is under vacuum or in Ar or N2Or H2Or a combination thereof, at about 400 ℃.

9. The method of any of the preceding claims, wherein the ruthenium containing film has a resistivity of about 10 μ Ω -cm to about 80 μ Ω -cm, preferably about 10 μ Ω -cm to about 30 μ Ω -cm.

10. The method of any of the preceding claims, wherein the ruthenium containing film has a thickness of about 1nm to about 20nm, preferably 2nm to about 15 nm.

11. The method of any of the preceding claims, wherein the ruthenium containing film is conformally deposited.

12. The method of any of the preceding claims, wherein the ruthenium containing film comprises substantially no nitrogen.

13. The method of any one of the preceding claims, wherein L is a linear or branched dienyl-containing moiety.

14. The method of any one of the preceding claims, wherein L is a straight or branched dienyl-containing moiety selected from the group consisting of butadienyl, pentadienyl, hexadienyl, heptadienyl, and octadienyl.

15. The method of any one of the preceding claims, wherein L is independently selected from the group consisting of C2-C6-alkenyl, C1-C6-alkyl radicalAlkoxy and NR1R2Substituted with one or more substituents of the group; and R is1And R2Independently is alkyl or hydrogen.

16. The method of any one of the preceding claims, wherein the at least one precursor is selected from the group consisting of:

4-butadiene-1, 3-diene) ruthenium tricarbonyl;

4-2, 3-dimethylbut-1, 3-diene) tricarbonyl ruthenium; and

42-methylbut-1, 3-diene) ruthenium tricarbonyl.

17. The method of any of the preceding claims, wherein the ALD is selected from the group consisting of photo-assisted ALD, liquid injection ALD and pulsed injection ALD.

18. The method of any one of the preceding claims, wherein the substrate is selected from the group consisting of: silicon, silicon oxide, silicon nitride, tantalum nitride, titanium nitride, aluminum oxide, copper, tungsten carbonitride, and combinations thereof.

19. A ruthenium-containing film prepared according to any one of claims 1-18.

20. Use of the ruthenium containing film of claim 19 in memory and logic applications.

21. The use according to claim 20 for DRAM, CMOS, 3D NAND, 3D cross-point and ReRAM applications.

22. A method for reducing the resistivity of a ruthenium containing film, the method comprising: annealing the ruthenium containing film under vacuum or in the presence of an inert gas, a reducing gas, or a combination thereof at a temperature between about 300 ℃ and 400 ℃ to form an annealed ruthenium containing film having a resistivity at least about 10% less than the ruthenium containing film, wherein the ruthenium containing film is formed by ALD, CVD, or a combination of ALD and CVD comprising delivering one or more of the following and an oxygen-free co-reactant to the substrate:

4-butadiene-1, 3-diene) ruthenium tricarbonyl

4-2, 3-dimethylbut-1, 3-diene) tricarbonyl ruthenium; and

42-methylbut-1, 3-diene) ruthenium tricarbonyl.

23. The method of claim 22, wherein the oxygen-free co-reactant is selected from the group consisting of NH3、H2Hydrazine, alkyl hydrazine, preferably hydrazine or alkyl hydrazine.

24. The method of claim 23, wherein the alkyl hydrazine is C1-C8Alkyl hydrazines, preferably C1-C4-alkyl hydrazines.

25. The method of any of claims 22 to 24, wherein the inert gas comprises Ar or N2And the reducing gas comprises H2

Technical Field

The present invention relates to a method of forming a ruthenium (Ru) -containing film by Atomic Layer Deposition (ALD) and/or Chemical Vapor Deposition (CVD).

Background

Various precursors are used to form thin films, and a variety of deposition techniques have been employed. Such techniques include reactive sputtering, ion assisted deposition, sol-gel deposition, Chemical Vapor Deposition (CVD) (also known as metalorganic CVD or MOCVD), and atomic layer deposition (also known as atomic layer epitaxy). CVD and ALD processes are increasingly being used because of their advantages of enhanced composition control, high film uniformity and efficient doping control. In addition, CVD and ALD processes provide outstanding conformal (conformal) step coverage for the highly non-planar geometries associated with modern microelectronic devices.

CVD is a chemical process in which precursors are used to form a thin film on a substrate surface. In a typical CVD process, precursors are passed over the surface of a substrate (e.g., a wafer) in a low pressure or ambient pressure reaction chamber. The precursors react and/or decompose on the substrate surface, thereby producing a thin film of the deposited material. Volatile byproducts are removed by passing a gas stream through the reaction chamber. It can be difficult to control the deposited film thickness because it depends on the coordination of many parameters such as temperature, pressure, gas flow volume and uniformity, chemical consumption effects, and time.

ALD is a chemical process for thin film deposition. It is a self-limiting, sequential, unique film growth technique based on surface reactions that can provide precise thickness control and deposit conformal thin films of materials provided by precursors onto substrate surfaces of varying compositions. In ALD, the precursors are separated during the reaction. The first precursor is passed over the substrate surface, thereby creating a monolayer on the substrate surface. Any excess unreacted precursor is pumped out of the reaction chamber. A second precursor or co-reactant is then passed over the substrate surface and reacts with the first precursor to form a second film monolayer on the first formed film monolayer on the substrate surface. This cycle is repeated to produce a film of the desired thickness.

Thin films, and in particular metal-containing thin films, have a number of important applications, such as in nanotechnology and the manufacture of semiconductor devices. Examples of such applications include high refractive index optical coatings, anti-corrosion coatings, photocatalytic self-cleaning glass coatings, biocompatible coatings, dielectric capacitor layers, and Field Effect Transistors (FETs), capacitor electrodes, gate electrodes, adhesive diffusion barriers, and gate dielectric insulating films in integrated circuits. Dielectric thin films are also used in microelectronics applications such as high- κ dielectric oxides for Dynamic Random Access Memory (DRAM) applications and ferroelectric perovskites for infrared detectors and in non-volatile ferroelectric random access memory (NV-FeRAM).

The ever-decreasing size of microelectronic components has increased the need for improved thin film technology. Further, in logic and memory semiconductor manufacturing, ruthenium needs to be deposited as a next generation metal electrode, cap or liner. Most existing ruthenium ALD processes use oxygen-containing co-reactants to achieve low resistivity metal films deposited at reasonable growth rates. However, the oxygen co-reactant undesirably reacts with underlying films, such as metals and underlayers, and increases their resistivity. Thus, there is a need for a non-oxygen containing co-reactant approach for ALD of Ru.

Disclosure of Invention

Accordingly, provided herein are novel methods of forming ruthenium containing films by atomic layer deposition and/or chemical vapor deposition. In some embodiments, the method can include delivering at least one precursor and an oxygen-free co-reactant to a substrate to form the ruthenium-containing film, wherein the at least one precursor corresponds in structure to formula I:

(L)Ru(CO)3

(formula I)

Wherein: l is selected from the group consisting of straight or branched C2-C6Alkenyl and straight or branched C1-6-alkyl groups; and wherein L is optionally independently selected from the group consisting of C2-C6-alkenyl, C1-C6Alkyl, alkoxy and NR1R2Substituted with one or more substituents of the group; wherein R is1And R2Independently is alkyl or hydrogen; and under vacuum or in an inert gas such as Ar, N2Or a reducing gas such as H2Or combinations thereof.

In some embodiments, the oxygen-free co-reactant for the ALD and/or CVD process may be hydrazine or an alkyl hydrazine.

In further embodiments, the one or more precursors that can be delivered to the substrate are:

4-butadiene-1, 3-diene) ruthenium tricarbonyl;

4ruthenium (2, 3-dimethylbut-1, 3-diene) tricarbonyl, also known as (DMBD) Ru (CO)3(ii) a And

42-methylbut-1, 3-diene) ruthenium tricarbonyl.

In other embodiments, methods of reducing the resistivity of ruthenium containing films are provided. The method may comprise the steps of under vacuum or in Ar, N2、H2Or a combination thereof, at a temperature between about 300-450 ℃ to form an annealed ruthenium-containing film having a resistivity at least about 10% less than the ruthenium-containing film. The ruthenium-containing film can be formed by ALD and/or CVD including delivering one or more of the following and an oxygen-free co-reactant to a substrate:

4-butadiene-1, 3-diene) ruthenium tricarbonyl;

4-2, 3-dimethylbut-1, 3-diene) tricarbonyl ruthenium; and

42-methylbut-1, 3-diene) ruthenium tricarbonyl.

Other embodiments, including specific aspects of the embodiments outlined above, will be apparent from the detailed description that follows.

Drawings

Comparison of FIG. 1 shows the use of oxygen and (DMBD) Ru (CO)3Ruthenium film growth rate from ALD of ruthenium (

Figure BDA0002598857930000031

Cycles) versus deposition temperature (deg.c) versus resistivity (μ Ω -cm).

Comparison of FIG. 2 with oxygen and (DMBD) Ru (CO)3A plot of ruthenium film thickness (nm) versus cycle number from ALD ruthenium, indicating linear growth at 250 ℃.

FIG. 3 shows a liquid crystal display device using (DMBD) Ru (CO)3Oxygen free ALD ruthenium film growth Rate by X-ray fluorescence (XRF) ((R))

Figure BDA0002598857930000033

Cycle) versus hydrazine co-reactant pulse height (torr) indicating the saturation curve for hydrazine co-reactant at 225 ℃.

FIG. 4 shows a liquid crystal display device using (DMBD) Ru (CO)3Oxygen free ALD ruthenium film growth Rate by XRF: (Graphical representation of/cycle) versus precursor pulse time (seconds) showing precursor (DMBD) Ru (CO) at 225 deg.C3The saturation curve of (c).

FIG. 5 shows the use of (DMBD) Ru (CO) at 225 ℃)3Ruthenium film thickness by XRF for oxygen free ALD ruthenium with hydrazine coreactantGraphical representation of comparison cycle number.

FIG. 6 shows the use of (DMBD) Ru (CO) at 250 ℃)3Ruthenium film thickness by XRF for oxygen free ALD ruthenium with hydrazine coreactantGraphical representation of comparison cycle number.

FIGS. 7A, 7B, 7C, 7D and 7E show the temperature of the substrate at 200 deg.C, 225 deg.C, 250 deg.C, 275 deg.C and 300 deg.C, respectively, in SiO2On a substrate by using (DMBD) Ru (CO)3Graphical representation of atomic% versus sputtering time (sec) as determined by X-ray photoelectron spectroscopy (XPS) for as-deposited ruthenium films formed by oxygen-free ALD with hydrazine co-reactant. FIG. 7F shows the temperature of the substrate at 250 ℃ in SiO2On a substrate by using (DMBD) Ru (CO)3Graphical representation of atomic% versus sputtering time (seconds) as determined by XPS for as-deposited ruthenium films formed by oxygen-free ALD with ammonia co-reactant.

FIG. 8 shows a liquid crystal display device using (DMBD) Ru (CO)3Ruthenium film growth rate with hydrazine coreactant to oxygen free ALD ruthenium: (Cycle) versus deposition temperature (c).

FIG. 9 is a graph obtained by using (DMBD) Ru (CO) at 225 ℃)3With hydrazine co-reactant in SiO2Oxygen free ALD ruthenium on, followed by 5% H in Ar at 400 deg.C2Graphical representation of atomic% versus sputtering time (seconds) as determined by XPS for the ruthenium films formed by annealing.

FIG. 10 is a graph obtained by using (DMBD) Ru (CO) at 250 ℃)3With hydrazine co-reactant in SiO2Oxygen free ALD ruthenium on, followed by 5% H in Ar at 400 deg.C2Graphical representation of atomic% versus sputtering time (seconds) as determined by XPS for the ruthenium films formed by annealing.

FIG. 11 is a 225 ℃ as-deposited ruthenium film and 5% H in Ar at different temperatures from 300 ℃ to 450 ℃2Graph of XPS analysis of oxygen and nitrogen (at%) versus process temperature (deg.C) for an under-annealed ruthenium film. Each annealed sample was isolated from the same silicon coupon (coupon) with the same ruthenium film by using (DMBD) Ru (CO) on silicon oxide at 225 deg.C3And hydrazine co-reactant grown oxygen free ALD.

FIG. 12 shows ruthenium film thicknessA plot of the comparative process temperature (. degree.C.) shows film densification with decreasing ruthenium thickness due to 5% H in Ar for ruthenium films2Annealing processPost nitrogen loss, the ruthenium film was grown at 225 ℃ by using (DMBD) Ru (CO)3And hydrazine co-reactant grown oxygen free ALD.

FIGS. 13A, 13B, 13C and 13D are ruthenium films as deposited at 225 ℃ with 5% H in Ar at 300 ℃2Annealed ruthenium film, 5% H in Ar at 350 deg.C2Annealed ruthenium films and 5% H in Ar at 400 deg.C2Scanning Electron Microscopy (SEM) cross-sectional views of the annealed ruthenium films. Each annealed sample was separated from the same silicon wafer with a ruthenium film by using (DMBD) Ru (CO) at 225 deg.C3And hydrazine co-reactant grown oxygen free ALD.

FIGS. 14A, 14B, 14C and 14D are ruthenium films as deposited at 225 ℃ with 5% H in Ar at 300 ℃2Annealed ruthenium film, 5% H in Ar at 350 deg.C2Annealed ruthenium films and 5% H in Ar at 400 deg.C2SEM top view of annealed ruthenium films. Each annealed sample was separated from the same silicon wafer with a ruthenium film by using (DMBD) Ru (CO) at 225 deg.C3And hydrazine co-reactant grown oxygen free ALD.

FIG. 15 is a graph of resistivity (. mu.OMEGA-cm) versus process temperature (. degree.C.) showing the effect of deposition temperature at 225 ℃, 250 ℃ and 300 ℃ on the resistivity of ruthenium films formed by using (DMBD) Ru (CO) on alumina substrates and the effect of annealing temperature from 300 ℃ to 425 ℃ on the resistivity of ruthenium films3And hydrazine co-reactant in the absence of oxygen ALD.

FIG. 16 is a plot of resistivity (. mu. OMEGA-cm) versus deposition temperature (. degree.C.) showing as-deposited film on silica, as-deposited film on alumina, 5% H in Ar at 400 ℃ on silica2Annealed films and on alumina at 400 ℃ with 5% H in Ar2Use of annealed films (DMBD) Ru (CO)3And the resistivity-deposition temperature dependence of oxygen-free ALD of hydrazine co-reactant.

FIG. 17 is a film as deposited on silica, a film as deposited on alumina, on silica with 5% H in Ar at 400 deg.C2Annealed films and on alumina at 400 ℃ with 5% H in Ar2Use of annealed films (DMBD) Ru (CO)3Resistivity after oxygen-free ALD with hydrazine co-reactant and substrate temperature of 225 ℃ (μ Ω -cm) versus ruthenium film thickness by XRFTo illustrate (a).

FIG. 18 is a graph obtained by using (DMBD) Ru (CO) at 225 ℃)3With hydrazine co-reactant in SiO2Graphical representation of atomic% versus sputtering time (sec) as determined by XPS for ruthenium films formed by oxygen-free ALD ruthenium followed by annealing in Ar at 400 ℃.

Fig. 19 is a graph of XPS analysis of oxygen and nitrogen (at%) versus process temperature (deg.c) for ruthenium films as-deposited at 225 deg.c and ruthenium films annealed in argon at 300 deg.c, 350 deg.c, and 400 deg.c, showing partial loss of nitrogen after annealing at 300 deg.c and complete loss of nitrogen after annealing at 350 deg.c or higher. Each annealed sample was isolated from the same silicon coupon with a ruthenium film by using (DMBD) Ru (CO) on a silicon oxide substrate at 225 deg.C3And hydrazine co-reactant grown oxygen free ALD.

FIG. 20 is a plot of resistivity (. mu. OMEGA-cm) versus process temperature (. degree.C.) showing the effect of argon annealing temperature on resistivity and 5% H in argon at 400 ℃ C2Comparison of annealing (for annealing by use of (DMBD) Ru (CO))3And hydrazine co-reactant and substrate temperature of 225 deg.c the same ruthenium film deposited oxygen-free ALD on alumina and on silicon oxide).

FIG. 21 resistivity (μ Ω -cm) versus ruthenium film thickness by XRFA schematic representation of annealing at 400 ℃ with argon and 5% H in argon2Annealing was compared (for annealing by using (DMBD) Ru (CO))3And hydrazine co-reactant and a ruthenium film deposited by oxygen-free ALD on silicon oxide at a substrate temperature of 225 c).

FIG. 22 shows ruthenium film thickness by ellipsometry

Figure BDA0002598857930000052

A plot of the comparative process temperature (c), which indicates film purification with decreasing thickness due to nitrogen loss and densification after argon annealing at different temperatures from 300 c to 425 c; and with 5% H in argon at 400 deg.C2Comparison of annealing (for annealing by use of (DMBD) Ru (CO))3The same ruthenium film deposited by oxygen-free ALD on silicon oxide with hydrazine co-reactant and substrate temperature of 225 ℃).

FIG. 23 is a graphical representation of resistivity (. mu. OMEGA. -cm) versus deposition temperature (. degree.C.) from XRF ruthenium thickness comparing the effect of argon annealing with nitrogen annealing at 400 ℃ (for annealing by use of (DMBD) Ru (CO))3And hydrazine co-reactant on silicon oxide for oxygen-free ALD deposited ruthenium films).

FIG. 24 is a schematic diagram showing a structure obtained by using (DMBD) Ru (CO)3Growth rate of XRF ruthenium thickness of ruthenium annealed with argon at 400 ℃ for ruthenium films deposited by oxygen-free ALD on silicon oxide at 200 ℃ with hydrazine coreactant (R) ((R))

Figure BDA0002598857930000053

Cycles) and resistivity (μ Ω -cm) versus deposition pressure (torr).

FIG. 25 is an SEM cross-sectional view of a ruthenium film deposited on a silicon via structure pre-coated with a titanium nitride liner. By using (DMBD) Ru (CO) at 225 DEG C3And oxygen-free ALD of hydrazine co-reactant to grow as-deposited ruthenium films.

FIG. 26 shows a liquid crystal display device using (DMBD) Ru (CO)3And tertiary butylhydrazine (tBu-hydrazine) coreactant oxygen-free ALD ruthenium film growth Rate by XRF: (Cycle) versus deposition temperature (c).

FIG. 27 shows a liquid crystal display device using (DMBD) Ru (CO)3Oxygen free ALD ruthenium film growth Rate by XRF: (

Figure BDA0002598857930000056

/cycle) plot of the pulse time (in seconds) of the comparative tBu-hydrazine co-reactant, which shows the saturation curve of tBu-hydrazine co-reactant at 225 ℃.

FIG. 28 shows the results obtained using (DMBD) Ru (CO) at 200 deg.C3Ruthenium film thickness by XRF for oxygen free ALD of ruthenium with tBu-hydrazine coreactant

Figure BDA0002598857930000058

Graphical representation of comparison cycle number.

FIG. 29 shows the results obtained at 225 ℃ using (DMBD) Ru (CO)3Ruthenium film thickness by XRF for oxygen free ALD of ruthenium with tBu-hydrazine coreactantGraphical representation of comparison cycle number.

FIG. 30 shows the use of (DMBD) Ru (CO) at 250 ℃)3Ruthenium film thickness by XRF for oxygen free ALD of ruthenium with tBu-hydrazine coreactant

Figure BDA00025988579300000510

Graphical representation of comparison cycle number.

FIG. 31 is a graph of resistivity (. mu. OMEGA-cm) versus deposition temperature (. degree.C.) showing the effect of deposition temperatures at 200, 225 and 250 ℃ on the resistivity of ruthenium films formed by annealing at Al and 400 ℃ on the resistivity of ruthenium films2O3Use on substrates of (DMBD) Ru (CO)3And tBu-hydrazine co-reactant grown in the absence of oxygen ALD.

FIG. 32 is a graph of resistivity (. mu. OMEGA-cm) versus deposition temperature (. degree.C.) showing the effect of deposition temperatures at 200, 225 and 250 ℃ on the resistivity of ruthenium films prepared by annealing at SiO and 400 ℃ on the resistivity of ruthenium films2Use on substrates of (DMBD) Ru (CO)3And tBu-hydrazine co-reactant grown in the absence of oxygen ALD.

FIG. 33 is a graph of resistivity (. mu. OMEGA-cm) versus deposition temperature (. degree.C.) showing the effect of deposition temperatures at 200, 225 and 250 ℃ on the resistivity of ruthenium films by using (DMBD) Ru (CO) on WCN substrate and the effect of annealing temperature at 400 ℃ on the resistivity of ruthenium films3And tBu-hydrazine co-reactant grown in the absence of oxygen ALD.

FIG. 34 shows a schematic view of Al2O3、SiO2And on a WCN film annealed with Ar at 400 ℃ using (DMBD) Ru (CO) at a deposition temperature of 200 DEG C3Resistivity (μ Ω -cm) versus ruthenium film thickness by XRF after oxygen-free ALD with tBu-hydrazine co-reactant

Figure BDA0002598857930000061

To illustrate (a).

FIG. 35 shows the structure of Al2O3、SiO2And on a WCN film annealed with Ar at 400 ℃ using (DMBD) Ru (CO) at a deposition temperature of 225 DEG C3Resistivity (μ Ω -cm) versus ruthenium film thickness by XRF after oxygen-free ALD with tBu-hydrazine co-reactantTo illustrate (a).

FIG. 36 shows a graph formed of Al2O3、SiO2And on a WCN film annealed with Ar at 400 ℃ using (DMBD) Ru (CO) at a deposition temperature of 250 DEG C3Resistivity (μ Ω -cm) versus ruthenium film thickness by XRF after oxygen-free ALD with tBu-hydrazine co-reactant

Figure BDA0002598857930000063

To illustrate (a).

FIGS. 37A, 37B and 37C are for SiO deposition temperatures of 200 deg.C, 225 deg.C and 250 deg.C, respectively2On a substrate by using (DMBD) Ru (CO)3Graphical representation of atomic% versus sputtering time (sec) as determined by XPS of as-deposited ruthenium films formed by oxygen-free ALD with tBu-hydrazine co-reactant.

FIGS. 38A, 38B and 38C are for SiO at deposition temperatures of 200 deg.C, 225 deg.C and 250 deg.C, respectively2On a substrate by using (DMBD) Ru (CO)3And oxygen-free ALD of tBu-hydrazine co-reactant followed by annealing in Ar at 400 ℃ as-deposited ruthenium films with atomic% versus sputtering time (sec) as determined by XPS.

FIG. 39A is a graph of Al at a deposition temperature of 200 deg.C2O3Top view of SEM image of the as-deposited Ru film at 4.0nm thickness. FIG. 39B is a schematic view ofSEM image top view of as-received Ru film deposited 4.9nm thick on WCN at a deposition temperature of 200 deg.C.

FIG. 40A shows the structure of Al2O3Top view of SEM image of the as-deposited Ru film at 3.1nm thickness. FIG. 40B is a top view of an SEM image of a 3.5nm thick as-deposited Ru film on a WCN. FIG. 40C shows a transition metal in Al2O3Top view of SEM image of the upper 3.1nm thick 400 ℃ Ar annealed Ru film. FIG. 40D is a top view of SEM image of a 3.5nm thick 400 deg.C Ar annealed Ru film on a WCN.

FIG. 41A is a graph of Al at a deposition temperature of 250 deg.C2O3Top view of SEM image of the as-deposited Ru film at 3.4nm thickness. FIG. 41B is a SEM image top view of a 4.9nm thick as-deposited Ru film on a WCN at a deposition temperature of 250 ℃.

FIG. 42 is on WCN substrate and on Al2O3Graphical representation of film roughness versus deposition temperature (. degree. C.) as measured by Atomic Force Microscopy (AFM) of as-deposited Ru films on a substrate.

Detailed Description

Before describing several exemplary embodiments of the present technology, it is to be understood that the present technology is not limited to the details of construction or method steps set forth in the following description. The technology is capable of other embodiments and of being practiced or of being carried out in various ways.

The present inventors have discovered a method for improving ruthenium deposition comprising two steps and films formed therefrom. The ALD method may include: an intermediate ruthenium film is deposited using the precursors of formula I as described herein and oxygen-free co-reactants at lower temperatures (e.g.,. ltoreq.250 ℃) within the ALD window, and then the intermediate film is reduced by annealing at higher temperatures (e.g., at 300 ℃ -400 ℃) in hydrogen and/or argon or nitrogen under vacuum. The oxygen-free ALD processes described herein have been found to provide high ruthenium film growth rates, low impurities, low resistivity, and substantially uniform thickness compared to current ALD processes for forming ruthenium thin films, including current ALD processes that use oxygen to form ruthenium thin films.

Definition of

For the purposes of the present invention and its claims, the numbering scheme for the groups of the periodic table is according to the IUPAC periodic table of the elements.

The term "and/or" as used in phrases such as "a and/or B" is intended herein to include "a and B," a or B, "" a, "and" B.

The terms "substituent", "group" and "moiety" may be used interchangeably.

As used herein, the terms "metal-containing complex" (or more simply, "complex") and "precursor" are used interchangeably and refer to a metal-containing molecule or compound that can be used to prepare metal-containing films by vapor deposition methods, such as ALD or CVD. The metal-containing complex can be deposited on, adsorbed to, decomposed on, transported to, and/or through the substrate or surface thereof to form a metal-containing film.

As used herein, the term "metal-containing film" includes not only elemental metal films, as defined more fully below, but also films containing a metal along with one or more elements, such as metal nitride films, metal silicide films, metal carbide films, and the like. As used herein, the terms "elemental metal film" and "pure metal film" are used interchangeably and refer to a film consisting of or consisting essentially of a pure metal. For example, the elemental metal film may comprise 100% pure metal, or the elemental metal film may comprise at least about 70%, at least about 80%, at least about 90%, at least about 95%, at least about 96%, at least about 97%, at least about 98%, at least about 99%, at least about 99.9%, or at least about 99.99% pure metal along with one or more impurities. Unless the context dictates otherwise, the term "metal film" should be interpreted to mean an elemental metal film.

As used herein, the term "vapor deposition process" is used to refer to any type of vapor deposition technique, including but not limited to CVD and ALD. In various embodiments, CVD may take the form of conventional (i.e., continuous flow) CVD, liquid injection CVD, or light assisted CVD. CVD may also take the form of pulsed techniques, i.e. pulsed CVD. ALD is used to form metal-containing films by evaporating at least one metal complex disclosed herein on and/or through a substrate surface. For conventional ALD methods, see, e.g., George S.M. et al, J.Phys.chem. [ journal of Physics ],1996,100, 13121-. In other embodiments, ALD may take the form of conventional (i.e., pulsed injection) ALD, liquid injection ALD, photo-assisted ALD, plasma-assisted ALD, or plasma-enhanced ALD. The term "vapor deposition process" further includes Chemical vapor deposition: Precursors, Processes, and Applications [ Chemical vapor deposition: precursors, methods and uses ]; jones, a.c.; hitchman, M.L. ed The Royal Society of Chemistry, Cambridge, 2009; chapter 1, pages 1-36.

The term "alkyl" refers to a saturated hydrocarbon chain of 1 to about 8 carbon atoms in length, such as, but not limited to, methyl, ethyl, propyl, and butyl. The alkyl group may be linear or branched. For example, as used herein, propyl encompasses both n-propyl and isopropyl; butyl encompasses n-butyl, sec-butyl, isobutyl and tert-butyl. Further, as used herein, "Me" refers to methyl and "Et" refers to ethyl.

The term "alkenyl" refers to an unsaturated hydrocarbon chain of 2 to about 6 carbon atoms in length that contains one or more double bonds. Examples include, but are not limited to, ethenyl, propenyl, butenyl, pentenyl, and hexenyl.

The term "dienyl" refers to a hydrocarbon group containing two double bonds. The dienyl group may be linear, branched or cyclic. Further, there is a non-conjugated dienyl group having double bonds separated by two or more single bonds; a conjugated diene group having double bonds separated by a single bond; and cumulated dienyl groups with double bonds sharing a common atom.

The term "alkoxy" (alone or in combination with additional term (s)) means a substituent, i.e., -O-alkyl. Examples of such substituents include methoxy (-O-CH)3) Ethoxy, and the like. The alkyl moiety may be straight or branched. For example, as used herein, propoxy encompasses both n-propoxy and isopropoxy; butoxy encompasses n-butoxy, iso-butoxy, sec-butoxy and tert-butoxy.

Precursor body

As stated above, hereinMethods of forming ruthenium containing films by atomic layer deposition are provided. In one embodiment, the method can include delivering at least one precursor corresponding to formula I and an oxygen-free co-reactant to a substrate to form a ruthenium-containing film, and then under vacuum or at Ar, N2、H2Or a combination thereof. In addition to or in lieu of annealing, the ruthenium-containing film can be plasma treated, for example, to densify and/or reduce contamination.

The structure of the at least one precursor corresponds to formula I below:

(L)Ru(CO)3

(formula I)

Wherein: l is selected from the group consisting of straight or branched C2-C6Alkenyl and straight or branched C1-C6-alkyl groups; and wherein L is optionally independently selected from the group consisting of C2-C6-alkenyl, C1-C6Alkyl, alkoxy and NR1R2Substituted with one or more substituents of the group; wherein R is1And R2Independently is alkyl or hydrogen.

In one embodiment, L is a straight or branched dienyl-containing moiety. Examples of such straight or branched dienyl-containing moieties include butadienyl, pentadienyl, hexadienyl, heptadienyl, and octadienyl. In a further embodiment, the linear or branched dienyl-containing moiety is a 1, 3-dienyl-containing moiety.

In another embodiment, L is substituted with one or more substituents (such as C)2-C6-alkenyl, C1-C6Alkyl, alkoxy and NR1R2Wherein R is1And R2As defined above). In particular embodiments, L is a dienyl-containing moiety and is substituted with one or more substituents (such as C)2-C6-alkenyl, C1-C6Alkyl, alkoxy and NR1R2Wherein R is1And R2As defined above).

In one embodiment, L may be oneOr a plurality of C1-C6-alkyl substitution such as, but not limited to, methyl, ethyl, propyl, butyl or any combination thereof.

Examples of the at least one precursor include, but are not limited to:

4-butadiene-1, 3-diene ruthenium tricarbonyl, also known as (BD) Ru (CO)3

4Ruthenium (2, 3-dimethylbut-1, 3-diene) tricarbonyl, also known as (DMBD) Ru (CO)3(ii) a And

42-methylbut-1, 3-diene) ruthenium tricarbonyl.

In some embodiments, the at least one precursor may be dissolved in a suitable solvent (such as a hydrocarbon or amine solvent) to facilitate the vapor deposition process. Suitable hydrocarbon solvents include, but are not limited to, aliphatic hydrocarbons such as hexane, heptane, and nonane; aromatic hydrocarbons such as toluene and xylene; and aliphatic and cyclic ethers such as diglyme, triglyme and tetraglyme. Examples of suitable amine solvents include, but are not limited to, octylamine and N, N-dimethyldodecylamine. For example, the at least one precursor may be dissolved in toluene to produce a solution having a concentration of from about 0.05M to about 1M.

In an alternative embodiment, the at least one precursor may be delivered "neat" (undiluted by the carrier gas) to the substrate surface.

Thus, the precursors disclosed herein used in these methods can be liquids, solids, or gases. Typically, the ruthenium precursor is a liquid or solid at ambient temperature with a vapor pressure sufficient to allow for consistent delivery of the vapor to the process chamber.

Oxygen-free coreactant

Typically, ruthenium precursors require an oxidizing environment (e.g., air, O)2Ozone or water) can deposit ruthenium films by ALD. However, it has been found that ruthenium films can be formed by: ALD using ruthenium-containing precursors and non-oxygen co-reactants as described herein, followed by an annealing step to obtain high growth rates and films with low impurities and low resistivity, without the deleterious effects of the oxygen co-reactant with the underlying substrate or a portion of the underlying substrateAnd (4) reacting. Thus, in one embodiment, the ALD process described herein is an oxygen-free ALD process. That is, the ALD process may be performed or carried out in an oxygen-free environment. For example, the non-oxygen co-reactant used herein may substantially comprise a gaseous material such as hydrogen gas, hydrogen plasma, ammonia, hydrazine, alkyl hydrazine, silane, borane, or any combination thereof. In particular embodiments, the non-oxygen co-reactant is hydrazine or an alkyl hydrazine. In various aspects, the alkylhydrazine can be C1-C8Alkyl hydrazines, C1-C4Alkyl hydrazines or C1-C2-alkyl hydrazines. For example, the alkyl hydrazine can be methyl hydrazine, ethyl hydrazine, propyl hydrazine, or butyl hydrazine (including t-butyl hydrazine).

Base material

The ruthenium films described herein can be deposited on a variety of substrates. For example, ruthenium complexes as disclosed herein can be delivered to, passed through, or deposited on or on a variety of substrates such as, but not limited to, silicon, crystalline silicon, Si (100), Si (111), silicon oxide, glass, strained silicon, silicon-on-insulator (SOI), doped silicon or silicon oxide(s) (e.g., carbon-doped silicon oxide), silicon nitride, germanium, gallium arsenide, tantalum nitride, aluminum oxide, copper, ruthenium, titanium nitride, tungsten nitride, tungsten carbonitride (WCN), as well as any number of other substrates typically encountered in nanoscale device manufacturing processes (e.g., semiconductor manufacturing processes). In any embodiment, the substrate may comprise one or more layers. For example, the substrate may include a liner layer (e.g., tungsten carbonitride, aluminum oxide) present on a base layer (e.g., silicon oxide). As will be appreciated by those skilled in the art, the substrate may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal and/or bake the substrate surface. In one or more embodiments, the substrate surface comprises a hydrogen terminated surface.

ALD and CVD types and conditions

The ALD and/or CVD methods provided herein encompass various types of ALD and/or CVD processes such as, but not limited to, continuous or pulsed injection processes, liquid injection processes, photo-assisted processes, plasma-assisted and plasma-enhanced processes.

In some embodiments, conventional or pulsed CVD is used to form metal-containing films by evaporating at least one metal complex on and/or through the surface of a substrate. For conventional CVD processes, see, e.g., Smith, Donald (1995), Thin-Film Deposition: Principles and Practice, [ Thin Film Deposition: principle and practice ] McGraw-Hill [ McGralo Hill group ].

In other embodiments, photo-assisted CVD is used to form a metal-containing film by evaporating at least one metal complex disclosed herein on and/or through a substrate surface.

In some embodiments, conventional (i.e., pulsed injection) ALD is used to form ruthenium-containing films by evaporating at least one ruthenium complex disclosed herein on and/or through a substrate surface. For conventional ALD methods, see, e.g., George S.M. et al, J.Phys.chem. [ journal of Physics ],1996,100, 13121-.

In other embodiments, liquid injection ALD is used to form ruthenium containing films by vaporizing at least one precursor disclosed herein on and/or through a substrate surface, wherein the at least one precursor is delivered to the reaction chamber by direct liquid injection rather than drawing vapor through a bubbler. For liquid injection ALD processes see, for example, Potter r.j. et al, chem.vap.deposition [ chemical vapor deposition ],2005,11(3), 159-169.

In other embodiments, photo-assisted ALD is used to form ruthenium-containing films by vaporizing at least one precursor disclosed herein on and/or through a surface of a substrate. For a photo-assisted ALD process see, for example, U.S. patent No. 4,581,249.

In other embodiments, plasma-assisted or plasma-enhanced ALD is used to form ruthenium-containing films by evaporating at least one precursor disclosed herein on and/or through a surface of a substrate.

In a further embodiment, a method of forming a ruthenium containing film on a surface of a substrate comprises: exposing the substrate to a gas phase ruthenium complex according to one or more embodiments described herein during an ALD process such that a layer comprising the ruthenium complex is formed on the surface, the ruthenium complex being bound to the surface through a ruthenium center; exposing the substrate having the bound ruthenium complex to a co-reactant during the ALD process such that an exchange reaction occurs between the bound ruthenium complex and the co-reactant, thereby dissociating the bound ruthenium complex and producing a first layer of elemental ruthenium on the substrate surface; and the ALD process and treatment are repeated in sequence.

The reaction time, temperature and pressure of the ALD process are selected to produce ruthenium-surface interactions and to obtain a layer on the substrate surface. The reaction conditions for the ALD reaction will be selected based on the characteristics of the ruthenium complex. Deposition may be carried out at atmospheric pressure, but more typically is carried out at reduced pressure. The vapor pressure of the metal complex should be high enough to be practical in such applications. The substrate temperature should be low enough to keep the bonds between the ruthenium atoms intact at the surface and prevent thermal decomposition of the gaseous reactants. However, the substrate temperature should also be high enough to keep the source materials (i.e., reactants) in the gas phase and provide sufficient activation energy for the surface reactions. The appropriate temperature depends on various parameters including the particular ruthenium complex used and the pressure. In some embodiments, the substrate temperature may be from about 200 ℃ to about 350 ℃, preferably from about 200 ℃ to about 250 ℃.

The characteristics of the particular ruthenium complexes used in the ALD deposition processes disclosed herein can be evaluated using methods known in the art, allowing the selection of the appropriate temperature and pressure for the reaction. In general, the presence of lower molecular weight and functional groups that increase the entropy of rotation of the ligand sphere results in the production of a liquid melting point at typical delivery temperatures and increased vapor pressures.

The ruthenium complex used in the deposition process will have all the requirements of sufficient vapor pressure, sufficient thermal stability at the selected substrate temperature, and sufficient reactivity to produce a reaction on the substrate surface without unwanted impurities in the film. Sufficient vapor pressure ensures that the source compound molecules are present at the substrate surface in sufficient concentration to enable complete self-saturation reactions. Sufficient thermal stability ensures that the source compound will not undergo thermal decomposition which generates impurities in the thin film.

Examples of ALD growth conditions for ruthenium complexes disclosed herein include, but are not limited to:

(1) base material temperature: 200-300 deg.C

(2) Evaporator temperature (metal precursor temperature): 20-70 deg.C

(3) Reactor pressure: 0.01 to 10 torr

(4) Argon or nitrogen carrier gas flow rate: 0sccm to 100sccm

(5) Reaction gas (co-reactant) pulse time: 0.01 second to 1 second. Pulse sequence (metal complex/purge/reaction gas/purge): will vary according to chamber size

(6) The number of cycles: will vary depending on the desired film thickness.

In some embodiments, conventional (i.e., pulsed injection) ALD is performed using at least one ruthenium precursor and oxygen-free co-reactants described herein, and ruthenium-containing films can be formed at 200 ℃ to 250 ℃ based on XRF ruthenium thickness, depending on deposition temperature and pressure, and

Figure BDA0002598857930000111

growth rate per cycle.

In further embodiments, the methods described herein can be performed under conditions that provide, for example, conformal growth for the liner. As used herein, the term "conformal growth" refers to a deposition process in which a film is deposited at substantially the same thickness along one or more of the bottom surface, sidewalls, upper corners, and exterior of a feature (feature). "conformal growth" is also intended to encompass some variation in film thickness, for example, the film may be thicker outside of the feature and/or near the top or upper portion of the feature as compared to the bottom or lower portion of the feature.

The conformal growth cycle can include delivering the ruthenium-containing complex, a purge gas, and a co-reactant to the substrate under conformal conditions such that conformal growth occurs. Conformal conditions include, but are not limited to, temperature (e.g., temperature of substrate, ruthenium-containing complex, purge gas, co-reactant, etc.), pressure (e.g., during delivery of ruthenium-containing complex, purge gas, co-reactant, etc.), amount of ruthenium-containing complex and/or co-reactant delivered, length of purge, and/or amount of purge gas delivered. In various aspects, the substrate can include one or more features in which conformal growth can occur.

Additionally or alternatively, under certain conditions, the film may be grown from the bottom of the feature, also referred to as "bottom-up fill," meaning that material is deposited at the bottom or lower portion of the feature (about 1.0% of the feature starting from the bottom of the feature, or about 20% of the feature starting from the bottom of the feature, or about 50% of the feature starting from the bottom of the feature), and substantially no material may be deposited at the exterior of the feature or at the top or upper portion of the feature. The "bottom" or "lower portion" of a feature is intended to encompass about 75% of the depth of the feature from the bottom of the feature, e.g., about 70% of the depth of the feature, about 60% of the depth of the feature, about 50% of the depth of the feature, about 40% of the depth of the feature, about 30% of the depth of the feature, about 20% of the depth of the feature, etc. The "top" or "upper" of a feature is intended to encompass the upper about 25% of the depth of the feature from the top of the feature, e.g., about 20% of the depth of the feature, about 10% of the depth of the feature, about 5.0% of the depth of the feature, etc. The "exterior" of a feature is intended to encompass an area of about 0.10nm to about 10mm in any direction from the opening of the feature.

In various aspects, the feature can be a via, a trench, a contact, a dual damascene, or the like. The features may have a non-uniform width, also referred to as "reentrant features," or the features may have a substantially uniform width.

In one or more embodiments, ruthenium-containing films grown according to the methods described herein can be substantially free of voids and/or hollow seams.

Annealing

As-deposited ruthenium films from oxygen-free ALD processes using hydrazine can contain about 6-10 at% nitrogen and have high resistivity at least in the deposition temperature range from 150 ℃ to 300 ℃. After deposition, the resulting film may then be annealed at a higher temperature. The annealing step helps to provide a good quality ruthenium film with low impurities and low resistivity.

Thus, in some embodiments, it may be under vacuum, or in an inert gas (such as Ar or N)2) Or a reducing agent (such as H)2) Or combinations thereof (such as 5% H in Ar)2) Annealing the ruthenium-containing film in the presence of (a). Without being bound by theory, the annealing step may remove the bound nitrogen by densification at high temperature to reduce resistivity and further improve film quality. Thus, in some embodiments, the ruthenium containing film after annealing contains substantially no nitrogen (about 0 at%) or nitrogen below the detection limit of XPS technology.

Additionally, in some embodiments, it may be under vacuum, or in Ar, N2、H2Or combinations thereof (e.g., 5% H in Ar)2) The ruthenium-containing film is annealed at about 300 ℃ to about 500 ℃.

In particular embodiments, it may be under vacuum, or in Ar, N2、H2Or combinations thereof (e.g., 5% H in Ar)2) The ruthenium-containing film is annealed at about 400 ℃.

Plasma treatment

Additionally or alternatively, after deposition, the ruthenium containing film can be subjected to a plasma treatment, which can help reduce resistivity. Plasma treatment may be performed in addition to or instead of the annealing step. Thus, in a further embodiment, there is provided a process for forming a ruthenium containing film by ALD, CVD, or a combination of ALD and CVD, wherein the process comprises:

delivering at least one precursor and an oxygen-free co-reactant to a substrate to form the ruthenium-containing film, wherein the at least one precursor corresponds in structure to formula I:

(L)Ru(CO)3

(formula I)

Wherein L is selected from the group consisting of straight or branched C2-C6Alkenyl and straight or branched C1-C6-alkyl groups; and wherein L is optionally independently selected from the group consisting of C2-C6-alkenyl, C1-C6Alkyl, alkoxy and NR1R2Substituted with one or more substituents of the group; wherein R is1And R2Independently is alkyl or hydrogen; and is

Under vacuum or in Ar, N2、H2Or a combination thereof; and/or subjecting the ruthenium-containing film to plasma treatment.

Resistance (RC)

The ruthenium-containing films formed by the methods described herein have low resistivity. In some embodiments, the ruthenium-containing membrane has a resistance of about 10 μ Ω -cm, about 15 μ Ω -cm, about 20 μ Ω -cm, about 25 μ Ω -cm, about 30 μ Ω -cm, about 40 μ Ω -cm, about 45 μ Ω -cm, about 50 μ Ω -cm, about 55 μ Ω -cm, or about 30 μ Ω -cm to about 65 μ Ω -cm. Additionally or alternatively, the ruthenium-containing membrane can have an electrical resistance of from about 10 μ Ω -cm to about 80 μ Ω -cm, from about 15 μ Ω -cm to about 60 μ Ω -cm, from about 20 μ Ω -cm to about 40 μ Ω -cm, or preferably from about 10 μ Ω -cm to about 30 μ Ω -cm.

The above-mentioned resistance measurements may be achieved in ruthenium-containing films prepared by the methods described herein, the films having a thickness of from about 1nm to about 20nm, from about 1nm to about 15nm, from about 2nm to about 15nm, or from about 3nm to about 20nm, as measured by XRF.

In further embodiments, provided herein are methods of reducing the resistivity of ruthenium-containing films. The method may include under vacuum, or in an inert gas (such as Ar and/or N)2) Or a reducing gas (e.g. H)2) Or a combination thereof, at a temperature between about 300 ℃ and 450 ℃ to form an annealed ruthenium-containing film having a resistivity at least about 10% less or at least about 15% less than the ruthenium-containing film (prior to annealing). The ruthenium-containing films can be formed by ALD, CVD, or ALD and CVD including the delivery of one or more of the following to a substrate and oxygen-free co-reactants as described herein:

4-butadiene-1, 3-diene) ruthenium tricarbonyl;

4-2, 3-dimethylbut-1, 3-diene) tricarbonyl ruthenium; and

42-methylbut-1, 3-diene) ruthenium tricarbonyl.

In further implementationIn the scheme, the method for reducing the resistivity of the ruthenium-containing film can be performed under vacuum or in inert gas (such as Ar and N)2) Or a reducing gas (e.g. H)2) Or combinations thereof, in addition to or in lieu of annealing the ruthenium containing film at a temperature between about 300 ℃ and 450 ℃, further comprising plasma treating the ruthenium containing film to form a treated ruthenium containing film having a resistivity at least about 10% less or at least about 15% less than the ruthenium containing film (prior to post deposition treatment). The ruthenium-containing films can be formed by ALD, CVD, or ALD and CVD including the delivery of one or more of the following to a substrate and oxygen-free co-reactants as described herein:

4-butadiene-1, 3-diene) ruthenium tricarbonyl;

4-2, 3-dimethylbut-1, 3-diene) tricarbonyl ruthenium; and

42-methylbut-1, 3-diene) ruthenium tricarbonyl.

Applications of

Ruthenium films formed by the ALD and/or CVD processes described herein can be used for memory and/or logic applications such as Dynamic Random Access Memory (DRAM), Complementary Metal Oxide Semiconductor (CMOS) and 3D NAND, 3D cross-point, and ReRAM.

Reference throughout this specification to "one embodiment," "certain embodiments," "one or more embodiments," or "an embodiment" means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the present technology. Thus, the appearances of the phrases such as "in one or more embodiments," "in certain embodiments," "in one embodiment," or "in an embodiment" in various places throughout this specification are not necessarily referring to the same embodiment of the present technology. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments.

Although the technology herein has been described with reference to particular embodiments, it is to be understood that these embodiments are merely illustrative of the principles and applications of the present technology. It will be apparent to those skilled in the art that various modifications and variations can be made in the method and apparatus of the present technology without departing from the spirit and scope of the technology. Thus, it is intended that the present technology include modifications and variations that are within the scope of the appended claims and their equivalents. Accordingly, the present technology as generally described will be more readily understood by reference to the following examples, which are provided by way of illustration and are not intended to be limiting.

49页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:表面处理铜箔及覆铜积层板

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!