Fdsoi标准单元的填充图形的生成方法以及版图布局方法

文档序号:1600412 发布日期:2020-01-07 浏览:8次 >En<

阅读说明:本技术 Fdsoi标准单元的填充图形的生成方法以及版图布局方法 (Method for generating filling pattern of FDSOI standard cell and layout method ) 是由 张凯 胡晓明 于 2019-09-30 设计创作,主要内容包括:本申请公开了一种FDSOI标准单元的填充图形的生成方法以及版图布局方法,该方法包括:获取标准单元库中FDSOI标准单元的参数;根据FDSOI标准单元的参数确定填充单元的参数;根据填充单元的参数生成FDSOI标准单元的填充图形。本申请通过根据FDSOI标准单元的参数确定填充单元的参数,根据填充单元的参数生成FDSOI标准单元的填充图形,由于填充单元的参数是基于FDSOI标准单元的参数确定的,因此能够解决不同类型的FDSOI器件之间的拼接问题,实现了不同类型的FDSOI器件在同一张晶圆上制备,降低了生产成本。(The application discloses a method for generating a filling graph of an FDSOI standard unit and a layout method, wherein the method comprises the following steps: acquiring parameters of FDSOI standard cells in a standard cell library; determining parameters of a filling unit according to the parameters of the FDSOI standard unit; and generating a filling pattern of the FDSOI standard cell according to the parameters of the filling cell. According to the method and the device, the parameters of the filling unit are determined according to the parameters of the FDSOI standard unit, the filling graph of the FDSOI standard unit is generated according to the parameters of the filling unit, and the parameters of the filling unit are determined based on the parameters of the FDSOI standard unit, so that the splicing problem among different types of FDSOI devices can be solved, the different types of FDSOI devices can be prepared on the same wafer, and the production cost is reduced.)

FDSOI标准单元的填充图形的生成方法以及版图布局方法

技术领域

本申请涉及半导体制造技术领域,具体涉及一种FDSOI标准单元的填充图形的生成方法以及版图布局方法。

背景技术

标准单元库,其包括版图库、符号库、电路逻辑库等,其包含了组合逻辑、时序逻辑、功能单元和特殊类型单元,是集成电路芯片后端设计过程中的基础部分。可运用标准单元库中预先设计好的标准单元进行自动逻辑综合和版图布局布线,可以极大地提高设计效率。

全耗尽绝缘硅(Fully Depleted Silicon On Insulator,FDSOI)结构是将晶体管设置于绝缘硅(SOI)上的半导体结构,FDSOI结构由于在晶体管之间加入绝缘物质,使两者之间的寄生电容大幅度降低,从而减小了器件的寄生电容,降低了漏电流。示例性的,如图1所示,定义N型(Negative)衬底的P型金属氧化物半导体场效应晶体管(Positive channelMetal Oxide Semiconductor,PMOS)和P型衬底的NMOS构成的FDSOI结构为RVT器件100;如图2所示,定义P型衬底的PMOS和N型衬底的NMOS构成的FDSOI结构为LVT器件200;如图3所示,定义N型衬底的PMOS和NMOS构成的FDSOI结构为SNW器件300;如图4所示,定义P型衬底的PMOS和NMOS构成的FDSOI结构为SPW器件400。图1至图4中,101为金属层(Tap),102为栅极(Gate),103为浅槽隔离(Shallow Trench Isolation,STI)结构,104为绝缘层(Box)。

如图5所示,以RVT器件和LVT器件为例,由于两种器件的衬底掺杂类型相反,因此,在二者邻接时,会在中线位置产生奇点501,造成拼接问题。

针对上述问题,相关技术中通常将不同类型的器件(例如RVT器件和LVT器件)进行分区布局,但是会带来极大的面积浪费。

发明内容

本申请提供了一种FDSOI标准单元的填充图形的生成方法以及版图布局方法,可以解决相关技术中提供的FDSOI版图结构由于将不同类型的器件进行分区布局所导致面积浪费的问题。

一方面,本申请实施例提供了一种FDSOI标准单元的填充图形的生成方法,其特征在于,所述方法应用于半导体制造,所述方法包括:

获取标准单元库中FDSOI标准单元的参数;

根据所述FDSOI标准单元的参数确定填充单元的参数;

根据所述填充单元的参数生成FDSOI标准单元的填充图形。

可选的,所述FDSOI标准单元的参数包括所述FDSOI标准单元的高度,所述填充单元的参数包括所述填充单元的高度;

所述根据所述FDSOI标准单元的参数确定填充单元的参数,包括:

将所述填充单元的高度确定为所述FDSOI标准单元的高度。

可选的,所述FDSOI标准单元的参数包括所述FDSOI标准单元的N型阱边线,所述填充单元的参数包括所述填充单元的N型阱区域;

所述根据所述FDSOI标准单元的参数确定填充单元的参数,包括:

根据所述FDSOI标准单元的N型阱边线确定所述填充单元的N型阱区域。

可选的,所述FDSOI标准单元的参数包括阱识别层边线,所述填充单元的参数包括所述填充单元的N型阱取反区域和P型阱取反区域;

所述根据所述FDSOI标准单元的参数确定填充单元的参数,包括:

根据所述阱识别层边线确定所述填充单元的N型阱取反区域和P型阱取反区域。

可选的,所述FDSOI标准单元的参数包括所述FDSOI标准单元的水平布线间距,所述填充单元的参数包括所述填充单元的横向最小布线轨道间隔尺寸;

所述根据所述FDSOI标准单元的参数确定填充单元的参数,包括:

将所述填充单元的横向最小布线轨道间隔尺寸确定为所述FDSOI标准单元的水平布线间距。

可选的,所述FDSOI标准单元的参数包括所述FDSOI标准单元的垂直布线间距,所述填充单元的参数包括所述填充单元的竖向最小布线轨道间隔尺寸;

所述根据所述FDSOI标准单元的参数确定填充单元的参数,包括:

将所述填充单元的竖向最小布线轨道间隔尺寸确定为所述FDSOI标准单元的垂直布线间距。

另一方面,本申请提供了一种FDSOI标准单元库的版图布局方法,包括:

确定需要***填充单元的***位置;

根据所述***位置两侧的FDSOI标准单元确定需要***的填充单元,所述填充单元是根据上述任一方法生成得到的;

在所述***位置***所述需要***的填充单元,生成FDSOI标准单元库的版图以实现所述FDSOI标准单元的版图拼接。

本申请技术方案,至少包括如下优点:

通过根据FDSOI标准单元的参数确定填充单元的参数,根据填充单元的参数生成FDSOI标准单元的填充单元,由于填充单元的参数是基于FDSOI标准单元的参数确定的,因此能够解决不同类型的FDSOI器件之间的拼接问题,实现了不同类型的FDSOI器件在同一张晶圆上制备,降低了生产成本。

附图说明

为了更清楚地说明本申请

具体实施方式

或现有技术中的技术方案,下面将对具体实施方式或现有技术描述中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图是本申请的一些实施方式,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他的附图。

图1是RVT器件的结构示意图;

图2是LVT器件的结构示意图;

图3是SNW器件的结构示意图;

图4是SPW器件的结构示意图;

图5是RVT器件和LVT器件拼接的版图示意图;

图6是本申请一个示例性实施例提供的FDSOI标准单元的填充图形的生成方法的流程图;

图7是本申请一个示例性实施例提供的FDSOI标准单元库的版图布局方法的流程图;

图8示出了基于本申请实施例提供的FDSOI标准单元的填充图形的生成方法生成的***LVT器件和RVT器件的填充单元的版图示意图;

图9示出了基于本申请实施例提供的FDSOI标准单元的填充图形的生成方法生成的***LVT器件和RVT器件的填充单元的版图示意图;

图10示出了基于本申请实施例提供的标准单元的填充图形的生成方法生成的***SNW器件和RVT器件的填充单元的版图示意图;

图11示出了基于本申请实施例提供的FDSOI标准单元的填充图形的生成方法生成的***SNW器件和LVT器件的填充单元的版图示意图;

图12示出了基于本申请实施例提供的FDSOI标准单元的填充图形的生成方法生成的***SPW器件和RVT器件的填充单元的版图示意图;

图13示出了基于本申请实施例提供的FDSOI标准单元的填充图形的生成方法生成的***SPW器件和LVT器件的填充单元的版图示意图。

具体实施方式

下面将结合附图,对本申请中的技术方案进行清楚、完整的描述,显然,所描述的实施例是本申请的一部分实施例,而不是全部的实施例。基于本申请中的实施例,本领域普通技术人员在不做出创造性劳动的前提下所获得的所有其它实施例,都属于本申请保护的范围。

在本申请的描述中,需要说明的是,术语“中心”、“上”、“下”、“左”、“右”、“竖直”、“水平”、“内”、“外”等指示的方位或位置关系为基于附图所示的方位或位置关系,仅是为了便于描述本申请和简化描述,而不是指示或暗示所指的装置或元件必须具有特定的方位、以特定的方位构造和操作,因此不能理解为对本申请的限制。此外,术语“第一”、“第二”、“第三”仅用于描述目的,而不能理解为指示或暗示相对重要性。

在本申请的描述中,需要说明的是,除非另有明确的规定和限定,术语“安装”、“相连”、“连接”应做广义理解,例如,可以是固定连接,也可以是可拆卸连接,或一体地连接;可以是机械连接,也可以是电气连接;可以是直接相连,也可以通过中间媒介间接相连,还可以是两个元件内部的连通,可以是无线连接,也可以是有线连接。对于本领域的普通技术人员而言,可以具体情况理解上述术语在本申请中的具体含义。

此外,下面所描述的本申请不同实施方式中所涉及的技术特征只要彼此之间未构成冲突就可以相互结合。

本申请中,定义FDSOI标准单元的高度所在方向为Z轴,定义版图的垂直方向为Y轴,定义版图的水平方向为X轴。

实施例1:

图6是本申请一个示例性实施例提供的FDSOI标准单元的填充图形的生成方法,该方法应用于半导体制造,该方法包括:

步骤601,获取标准单元库中FDSOI标准单元的参数。

其中,FDSOI标准单元的参数包括FDSOI标准单元的高度、N型阱(Well)边线、阱识别层(Well-Reverse)边线、水平布线间距以及垂直布线间距中的至少一种;FDSOI标准单元包括RVT器件、LVT器件、SNW器件以及SPW器件中的至少两种。

步骤602,根据FDSOI标准单元的参数确定填充单元的参数。

其中,填充单元是设置于FDSOI标准单元之间的结构;填充单元的参数包括填充单元的高度、N型阱区域、N型阱取反区域、P型阱取反区域、横向最小布线轨道间隔尺寸以及竖向最小布线轨道间隔尺寸中的至少一种。

步骤603,根据填充单元的参数生成FDSOI标准单元的填充图形。

综上所述,本实施例中,通过根据FDSOI标准单元的参数确定填充单元的参数,根据填充单元的参数自动生成FDSOI标准单元的填充单元,由于填充单元的参数是基于FDSOI标准单元的参数确定的,因此能够解决不同类型的FDSOI器件之间的拼接问题,实现了不同类型的FDSOI器件在同一张晶圆上制备,降低了生产成本。

实施例2:

参考实施例1,实施例2和实施例1的区别在于:对于步骤602中的“根据FDSOI标准单元的参数确定填充单元的参数”,其包括:将填充单元的高度确定为FDSOI标准单元的高度。

即,本实施例中,填充单元高度与标准单元库内所有FDSOI标准单元的相对高度相同,相对高度为相对于原点的高度。

实施例3:

参考实施例1或者实施例2,实施例3和实施例1、实施例2的区别在于:对于步骤602中的“根据FDSOI标准单元的参数确定填充单元的参数”,还可以包括:根据FDSOI标准单元的N型阱边线确定填充单元的N型阱区域。

实施例4:

参考实施例1至实施例3中的任一实施例,实施例4和上述实施例的区别在于:对于步骤602中的“根据FDSOI标准单元的参数确定填充单元的参数”,还可以包括:根据FDSOI标准单元的阱识别层边线确定填充单元的N型阱取反区域和P型阱取反区域。

其中,阱识别层与N型阱、P型阱的交集区域会分别取反,流片之后的交集区域分别为P型阱区域、N型阱区域。

实施例5:

参考实施例1至实施例4中任一实施例,实施例5和上述实施例的区别在于:对于步骤602中的“根据FDSOI标准单元的参数确定填充单元的参数”,还可以包括:将填充单元的横向最小布线轨道间隔尺寸确定为FDSOI标准单元的水平布线间距。即,填充单元的横向最小布线轨道间隔尺寸与FDSOI标准单元的水平布线间距相等。

实施例6:参考实施例1至实施例5中任一实施例,实施例6和上述实施例的区别在于:对于步骤602中的“根据FDSOI标准单元的参数确定填充单元的参数”,还可以包括:将填充单元的竖向最小布线轨道间隔尺寸确定为FDSOI标准单元的垂直布线间距。即,填充单元的竖向最小布线轨道间隔尺寸与FDSOI标准单元的垂直布线间距相等。

实施例7:

参考图7,其示出了本申请一个示例性实施例提供的FDSOI标准单元库的版图布局方法的流程图,该方法包括:

步骤701,确定需要***填充单元的***位置。

步骤702,根据***位置两侧的FDSOI标准单元确定需要***的填充单元。其中,填充单元可由上述任一实施例生成得到。

步骤703,在***位置***需要***的填充单元,生成FDSOI标准单元库的版图以实现FDSOI标准单元的版图拼接。

本实施例中,生成的填充单元包括多种类型,例如,***RVT器件与LVT器件之间的填充单元、***SNW器件与RVT器件之间的填充单元、***SNW器件与LVT器件之间的填充单元、***SPW器件与RVT器件之间的填充单元以及***SPW器件与LVT器件之间的填充单元。

示例性的,本实施例中,首先在至少两种类型的FDSOI标准单元中确定需要***填充单元的位置,然后根据该位置两侧的FDSOI标准单元的类型确定需要***的填充单元的类型,再在该位置***需要***的填充单元,从而生成包含不同类型的FDSOI结构的版图。

图8示出了基于上述FDSOI标准单元的填充单元的生成方法生成的***LVT器件和RVT器件的填充单元的版图示意图,如图8所示,本实施例中的填充单元的版图,右半部分被阱识别层覆盖,可以使P型阱/N型阱和SDP区/SDN区相对设置。即,左部区域在中线以上为N型阱和P+离子注入区,中线以下为P型阱和N+离子注入区,因此可与RVT器件正常邻接;右部区域在中线以上为P型阱和N+离子注入区,中线以下为N型阱和P+离子注入区,可与LVT器件正常邻接;中间部分全部为N型阱和P+离子注入区,连接左边部分与右边部分的N型阱和P+离子注入区。图中的设计规则限制是指根据晶圆工厂提供的最小设计规则及二分之一最小规则,保证单元版图自动拼接后可以通过设计规则检查。此结构可应用于左右两侧器件均具有稳定衬底电压的情况。

图9示出了基于上述FDSOI结构的版图生成方法生成的另一种***LVT器件和RVT器件的填充单元的版图示意图,如图9所示,在图8所示的填充单元的结构基础上,根据版图设计基本参数和晶圆工厂提供的设计规则,在满足有源区设计规则的前提下,在基本结构空白区域内画出能够容许的最大的有源区,然后根据接触孔设计规则,在有源区上均匀画出接触孔,使用金属连接到衬底电压源上,达到衬底电压源连接衬底的作用。本实施例提供的结构相较于图8实施例中提供的机构,面积增大,可有效避免***器件无衬底电位的情况发生。

图10示出了基于上述FDSOI标准单元的填充单元的生成方法生成的***SNW器件和RVT器件的填充单元的版图示意图;图11示出了基于上述FDSOI标准单元的填充单元的生成方法生成的***SNW器件和LVT器件的填充单元的版图示意图;图12示出了基于上述FDSOI标准单元的填充单元的生成方法生成的***SPW器件和RVT器件的填充单元的版图示意图;图13示出了基于上述FDSOI标准单元的填充单元的生成方法生成的***SPW器件和LVT器件的填充单元的版图示意图。

上述实施例中,VPW(Voltage of P-well)为P型阱的顶层金属区,VNW(Voltage ofN-well)为N型阱的顶层金属区。

显然,上述实施例仅仅是为清楚地说明所作的举例,而并非对实施方式的限定。对于所属领域的普通技术人员来说,在上述说明的基础上还可以做出其它不同形式的变化或变动。这里无需也无法对所有的实施方式予以穷举。而由此所引伸出的显而易见的变化或变动仍处于本申请创造的保护范围之中。

15页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:半导体装置

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类