Method and system for characterization of an X-ray beam with high spatial resolution

文档序号:1643057 发布日期:2019-12-20 浏览:14次 中文

阅读说明:本技术 用于具有高空间分辨率的x射线束的特性化的方法及系统 (Method and system for characterization of an X-ray beam with high spatial resolution ) 是由 A·毕卡诺维 N·亚提湄夫 J·A·迪雷戈洛 A·吉里纽 A·库兹涅佐夫 A·韦尔德曼 于 2018-05-10 设计创作,主要内容包括:本文中描述用于在透射小角度X射线散射测量T-SAXS计量系统中定位样品及特性化入射到所述样品上的x射线束的方法及系统。样品定位系统垂直地定位晶片且使所述晶片在六个自由度中相对于所述x射线照明束主动地定位而不衰减透射辐射。在一些实施例中,在测量透射通量的经检测强度时跨所述照明束扫描圆柱形遮挡元件以精确定位射束中心。在一些其它实施例中,采用周期性校准目标以精确定位所述射束中心。所述周期性校准目标包含具有将X射线照明光衍射成相异、可测量衍射图案的不同周期性结构的一或多个空间界定区。(Methods and systems for locating a sample and characterizing an X-ray beam incident on the sample in a transmission small angle X-ray scatterometry T-SAXS metrology system are described herein. A sample positioning system positions a wafer vertically and actively positions the wafer in six degrees of freedom relative to the x-ray illumination beam without attenuating transmitted radiation. In some embodiments, a cylindrical shading element is scanned across the illumination beam to pinpoint a beam center when measuring the detected intensity of the transmitted flux. In some other embodiments, a periodic calibration target is employed to precisely locate the beam center. The periodic calibration target includes one or more spatially defined regions having different periodic structures that diffract X-ray illumination light into distinct, measurable diffraction patterns.)

1. A metrology system, comprising:

an x-ray illumination source configured to generate an x-ray illumination beam incident on a semiconductor wafer;

a sample positioning system configured to actively control a position of the semiconductor wafer relative to the x-ray illumination beam in six degrees of freedom, wherein a vector normal to a surface of the wafer is substantially perpendicular to a direction of gravity exerted on the semiconductor wafer by gravity during measurement of the semiconductor wafer by the metrology system;

an x-ray detector configured to detect a first amount of x-ray radiation from the semiconductor wafer in response to the incident x-ray illumination beam; and

a computing system configured to determine a value of a parameter of interest characterizing a structure disposed on the semiconductor wafer.

2. The metrology system of claim 1, the sample positioning system comprising:

a base frame;

a stage reference frame configured to rotate relative to the base frame about a rotation axis perpendicular to the illumination beam and substantially parallel to the wafer surface;

a wafer stage mounted to a stage reference frame, the wafer stage configured to position the wafer at any desired location within an active area of the semiconductor wafer relative to the incident illumination beam;

a three-axis stage mounted to the wafer stage, the three-axis stage configured to move the semiconductor wafer in a direction generally aligned with the illumination beam and to rotate the semiconductor wafer about two orthogonal axes of rotation that are also generally perpendicular to the illumination beam; and

a rotary stage mounted to the tri-axial stage, the rotary stage configured to rotate the wafer about an axis substantially perpendicular to a surface of the wafer.

3. The metrology system of claim 2, wherein the wafer stage and the tri-axial stage are mechanically coupled by six mechanical contact points arranged as kinematic couplings.

4. The metrology system of claim 1, the sample positioning system comprising: one or more sensors configured to measure a position of a backside surface of the semiconductor wafer relative to the sample positioning system in a direction substantially perpendicular to the wafer surface; one or more sensors configured to measure a position of a frontside surface of the semiconductor wafer relative to the sample positioning system in a direction substantially perpendicular to the wafer surface; or

Combinations thereof.

5. The metrology system of claim 2, the sample positioning system comprising one or more edge gripper devices configured to mechanically couple the semiconductor wafer to the rotary stage at an edge of the semiconductor wafer.

6. The metrology system of claim 1, the sample positioning system comprising a rotational balancer disposed on the stage reference frame, wherein a center of mass of the stage reference frame configured to rotate relative to the base frame about the axis of rotation is substantially aligned with the axis of rotation.

7. The metering system of claim 1, further comprising:

a first vacuum chamber enclosing a substantial portion of an illumination beam path between the x-ray illumination source and the semiconductor wafer.

8. The metering system of claim 1, further comprising:

a first vacuum chamber enclosing a significant portion of a collection beam path between the semiconductor wafer and the x-ray detector.

9. A metrology system, comprising:

an x-ray illumination subsystem configured to generate an x-ray illumination beam;

a sample positioning system configured to position a sample relative to the x-ray illumination beam such that the x-ray illumination beam is incident on a surface of the sample at any location on the surface of the sample and to rotate the sample relative to the x-ray illumination beam about an axis of rotation such that the x-ray illumination beam is incident on the surface of the sample at any location at a plurality of angles of incidence and the sample is rotated about an azimuthal axis of rotation such that the x-ray illumination beam is incident on the surface of the sample at any location at a plurality of azimuthal angles;

a beam-blocking calibration target including a cylindrical pin and one or more markers disposed in a plane aligned with a central axis of the cylindrical pin;

an x-ray detector configured to detect an amount of transmitted flux over a range of positions of the sample positioning system, wherein at least a portion of the x-ray illumination beam is incident on the cylindrical pin over the range of positions; and

a computing system configured to determine a location of incidence of the x-ray illumination beam relative to the sample positioning system based on the detected amount of transmitted flux.

10. The metrology system of claim 9, wherein the range of positions includes a range of angles of incidence, and wherein the computing system is further configured to determine an adjustment of a position of the rotation axis relative to the x-ray illumination beam to align the rotation axis with the x-ray illumination beam.

11. The metrology system of claim 10, wherein the determination of the adjustment of the position of the rotational axis relative to the x-ray illumination beam is based on the detected amount of transmitted flux.

12. The metering system of claim 10, further comprising:

an alignment camera that generates a plurality of images of at least a portion of the one or more marks or one or more marks disposed on the sample at a plurality of different incident angles, and wherein a misalignment of the rotational axis relative to a position of the one or more marks or the one or more marks disposed on the sample is determined based on a displacement of the one or more marks or the one or more marks disposed on the sample measured in the plurality of images.

13. The metering system of claim 10, further comprising:

one or more actuators configured to adjust a position of one or more elements of the x-ray illumination subsystem to adjust the position of the rotational axis relative to the x-ray illumination beam.

14. The metering system of claim 10, further comprising:

one or more actuators configured to adjust the position of a sample positioning system relative to the x-ray illumination beam to align the rotation axis with the x-ray illumination beam.

15. The metrology system of claim 9, wherein the determination of the incident position of the x-ray illumination beam relative to the sample positioning system is based on a transmission flux model that varies as a function of the position of the cylindrical pin relative to the x-ray illumination beam.

16. The metering system of claim 9, further comprising:

an alignment camera that generates an image of at least a portion of the marker, wherein the computing system is further configured to position the marker in a coordinate system of the sample positioning system based on the image and estimate a location of incidence of the x-ray illumination beam in the coordinate system of the sample positioning system based on a location of the marker and a known distance between the marker and the cylindrical pin.

17. The metrology system of claim 16, wherein the alignment camera generates an image of at least one fiducial marker disposed on the sample, and wherein the computing system is further configured to position the fiducial marker in the coordinate system of the sample positioning system based on the image.

18. The metrology system of claim 17, wherein the alignment camera rotates relative to the sample about the rotation axis.

19. The metering system of claim 9, further comprising:

one or more sensors configured to measure a position of a backside surface of the sample relative to the sample positioning system in a direction substantially perpendicular to the wafer surface; one or more sensors configured to measure a position of a frontside surface of the sample relative to the sample positioning system in a direction substantially perpendicular to the wafer surface; or a combination thereof.

20. The metrology system of claim 9, wherein the beam-blocking calibration target is disposed on the sample positioning system or the sample.

21. The metering system of claim 9, further comprising:

a first vacuum chamber enclosing a significant portion of an illumination beam path between the x-ray illumination source and the sample.

22. The metering system of claim 9, further comprising:

a first vacuum chamber enclosing a significant portion of a collection beam path between the sample and the x-ray detector.

23. A metrology system, comprising:

an x-ray illumination source configured to generate an x-ray illumination beam;

a sample positioning system configured to position a sample relative to the x-ray illumination beam such that the x-ray illumination beam is incident on a surface of the sample at any location on the surface of the sample and to rotate the sample relative to the x-ray illumination beam about an axis of rotation such that the x-ray illumination beam is incident on the surface of the sample at any location at a plurality of angles of incidence and the sample is rotated about an azimuthal axis of rotation such that the x-ray illumination beam is incident on the surface of the sample at any location at a plurality of azimuthal angles;

a periodic calibration target including one or more periodic structures having a known range on the periodic calibration target and one or more markers disposed in a plane aligned with the one or more periodic structures;

an x-ray detector configured to detect an amount of transmitted flux over a range of positions of the sample positioning system, wherein at least a portion of the x-ray illumination beam is incident on the one or more periodic structures over the range of positions; and

a computing system configured to determine a location of incidence of the x-ray illumination beam relative to the sample positioning system based on the detected amount of transmitted flux.

24. The metrology system of claim 23, wherein the range of positions includes a range of incidence angles, and wherein the computing system is further configured to determine an adjustment of a position of the rotation axis relative to the x-ray illumination beam based on the detected amount of transmitted flux.

25. The metrology system of claim 23, wherein the periodic calibration target includes a boundary line between two periodic structures that differ in periodicity, orientation, or both, and wherein the boundary line is known to have an accuracy of less than 200 nanometers relative to a position of the one or more marks.

26. The metrology system of claim 23, wherein the periodic calibration target includes an intersection in three of more periodic structures that differ in periodicity, orientation, or both, and wherein the intersection is known to have an accuracy of less than 200 nanometers relative to a position of the one or more markers.

27. The metrology system of claim 23, wherein a height of each of the one or more periodic structures is at least 500 microns.

28. The metrology system of claim 23, wherein a pitch of the one or more periodic structures is less than 200 nanometers.

29. The metrology system of claim 23, wherein the one or more periodic structures are made of tungsten, tungsten carbide, or platinum.

30. The metrology system of claim 23, wherein the periodic calibration target is disposed on the sample positioning system or the sample.

31. A method, comprising:

generating, by an x-ray illumination subsystem, an x-ray illumination beam;

positioning a sample relative to the x-ray illumination beam such that the x-ray illumination beam is incident on a surface of the sample at any location on the surface;

rotating the sample relative to the x-ray illumination beam about an axis of rotation such that the x-ray illumination beam is incident on the surface of the sample at any location at a plurality of angles of incidence;

rotating the sample about an azimuthal rotation axis such that the x-ray illumination beam is incident on the surface of the sample at any location at a plurality of azimuthal angles;

illuminating a calibration target with the x-ray illumination beam, the calibration target including one or more markers;

detecting an amount of transmitted flux over a range of positions of a sample positioning system, wherein at least a portion of the x-ray illumination beam is incident on the calibration target over the range of positions; and

determining an incident position of the x-ray illumination beam relative to the sample positioning system based on the detected amount of transmitted flux.

32. The method of claim 31, further comprising:

determining an adjustment of a position of the rotational axis relative to the x-ray illumination beam to align the rotational axis with the x-ray illumination beam, wherein the range of positions includes a range of angles of incidence.

33. The method of claim 32, wherein the determination of the adjustment of the position of the rotational axis relative to the x-ray illumination beam is based on the detected amount of transmitted flux.

34. The method of claim 32, further comprising:

generating a plurality of images of at least a portion of the one or more markers or one or more markers disposed on the sample at a plurality of different incident angles, wherein a misalignment of the axis of rotation relative to the position of the one or more markers or the one or more markers disposed on the sample is determined based on a displacement of the one or more markers or the one or more markers disposed on the sample measured in the plurality of images.

35. The method of claim 32, further comprising:

adjusting a position of one or more elements of the x-ray illumination subsystem to adjust the position of the rotational axis relative to the x-ray illumination beam.

36. The method of claim 32, further comprising:

adjusting the position of a sample positioning system relative to the x-ray illumination beam to align the rotation axis with the x-ray illumination beam.

37. The method of claim 31, wherein the calibration target includes one or more periodic structures having a known range, and wherein the one or more markers are disposed in a plane aligned with the one or more periodic structures.

38. The method of claim 31, wherein the calibration target includes a cylindrical pin, wherein the one or more markers are disposed in a plane aligned with a central axis of the cylindrical pin.

Technical Field

The described embodiments relate to x-ray metrology systems and methods, and more particularly, to methods and systems for improving measurement accuracy.

Background

Semiconductor devices, such as logic and memory devices, are typically manufactured through a series of processing steps applied to a sample. Various features and multiple structural levels of the semiconductor device are formed through these processing steps. For example, photolithography is particularly one semiconductor process involving the creation of patterns on semiconductor wafers. Additional examples of semiconductor manufacturing processes include, but are not limited to, chemical mechanical polishing, etching, deposition, and ion implantation. Multiple semiconductor devices may be fabricated on a single semiconductor wafer and then separated into individual semiconductor devices.

Metrology processes are used at various steps during the semiconductor manufacturing process to detect defects on the wafer to facilitate higher yields. Several metrology-based techniques, including scatterometry and reflectometry implementations and associated analytical algorithms, are commonly used to characterize critical dimensions, film thicknesses, compositions, and other parameters of nanoscale structures.

Scatterometry critical dimension measurements are conventionally performed on targets comprised of thin films and/or repeating periodic structures. During device fabrication, these films and periodic structures typically represent the actual device geometry and material structure or intermediate design. As devices (e.g., logic and memory devices) move to smaller nanoscale dimensions, characterization becomes more difficult. Devices incorporating complex three-dimensional geometries and materials with a variety of physical properties pose characterization difficulties. For example, modern memory structures are typically high aspect ratio three-dimensional structures that make it difficult for optical radiation to penetrate to the underlying layer. Optical metrology tools utilizing infrared to visible light can penetrate many layers of translucent materials, but longer wavelengths, which provide good penetration depths, do not provide sufficient sensitivity to small anomalies. In addition, an increase in the number of parameters required to characterize a complex structure (e.g., FinFET) leads to an increase in parameter dependence. Thus, the parameters that characterize the target often cannot reliably depart from the available measurements.

In one example, attempts have been made to employ longer wavelengths (e.g., near infrared) to overcome the penetration problem of 3D FLASH devices that utilize polysilicon as one of the alternating materials in the stack. However, the mirror-like structure of 3D FLASH essentially results in a reduction of light intensity as the illumination propagates deeper into the film stack. This results in a loss of sensitivity at depth and correlation problems. In this case, SCD can only successfully extract a reduced set of gauge sizes with high sensitivity and low correlation.

In another example, opaque high-k materials are increasingly being employed in modern semiconductor structures. Optical radiation is generally not able to penetrate layers constructed from these materials. Therefore, measurements made using thin film scatterometry tools such as ellipsometers or reflectometers are becoming increasingly challenging.

In response to these challenges, more sophisticated optical metrology tools have been developed. For example, tools have been developed with multiple illumination angles, shorter illumination wavelengths, wider illumination wavelength ranges, and more complete information acquisition from reflected signals (e.g., multiple Mueller matrix elements are measured in addition to more conventional reflectance or ellipsometric signals). However, these approaches do not reliably overcome the fundamental challenges associated with the measurement and measurement applications (e.g., line edge roughness and line width roughness measurements) of many advanced targets (e.g., complex 3D structures, structures less than 10nm, structures employing opaque materials).

Atomic Force Microscopy (AFM) and Scanning Tunneling Microscopy (STM) are capable of achieving atomic resolution, but they can only probe the surface of a sample. In addition, AFM and STM microscopes require long scanning times. Scanning Electron Microscopy (SEM) achieves intermediate levels of resolution, but does not penetrate structures to sufficient depth. Therefore, the high aspect ratio holes are not well characterized. In addition, the charging required for the sample has a negative impact on the imaging performance. X-ray reflectometers also suffer from penetration problems that limit their effectiveness when measuring high aspect ratio structures.

To overcome the penetration depth problem, conventional imaging techniques (e.g., TEM, SEM, etc.) are employed in conjunction with destructive sample preparation techniques (e.g., Focused Ion Beam (FIB) machining, ion milling, blanket or selective etching, etc.). For example, Transmission Electron Microscopy (TEM) achieves high resolution levels and can probe arbitrary depths, but TEM techniques require destructive segmentation of the sample. Several iterations of material removal and measurement typically provide the information needed to measure key metrology parameters throughout a three-dimensional structure. However, these techniques require sample disruption and lengthy process times. The complexity and time to complete these types of measurements introduces large inaccuracies due to drift in the etch and metrology steps. In addition, these techniques require several iterations of introducing registration errors.

Transmission small angle X-ray scattering measurement (T-SAXS) systems employing photons of hard X-ray energy levels (>15keV) have shown promise to address challenging measurement applications. Various aspects of applying SAXS techniques to the measurement of critical dimension (CD-SAXS) and overlay (OVL-SAXS) are described in the following scenarios: 1) us patent No. 7,929,667 entitled "High-brightness X-ray metrology" by Zhuang and felden; 2) U.S. patent publication No. 2014/0019097 entitled "Model Building And Analysis Engine For Combined X-Ray And Optical Metrology" For chedman, schhegrov, Zhao And Tan; 3) U.S. patent publication No. 2015/0117610 entitled "method and Apparatus For measuring semiconductor Device superposition Using X-Ray Metrology" (For Methods and Apparatus For measuring semiconductor Device superposition Using X-Ray Metrology "), to verdman (Veldman), pekerman (bakerman), shepherov (Shchegrov), and micheher (Mieher); 4) U.S. patent publication No. 2016/0202193 entitled "Measurement System Optimization For X-Ray Based Metrology" by Hench (Hench), schegrov (shchegrove), and by chedman (Bakeman); 5) U.S. patent publication No. 2017/0167862 entitled "High-aspect ratio structured X-ray Metrology (X-ray Metrology For High aspect ratio Structures)" to Dziura, Gellineau, and Shchegrov; and 6) Gellineau (Gellineau), Dziura (Dziura), Hench (Hench), Veldman (Veldman), and Zalubovsky (Zaluvbsky) U.S. patent publication No. 2018/0106735 entitled "Full Beam Metrology for X-Ray Scatterometry Systems". The aforementioned patent document is assigned to Kolie Corporation (KLA-Tencor Corporation) of Milpitas, California, USA.

SAXS has also been applied to characterization of materials and other non-semiconductor related applications. Exemplary systems have been commercialized by several companies, including Xenocs SAS (www.xenocs.com), Bruker Corporation (www.bruker.com), and Physics Corporation (Rigaku Corporation) (www.rigaku.com/en).

The study of CD-SAXS metrology of semiconductor structures is also described in the scientific literature. Most research teams have adopted high brightness X-ray synchrotron sources that are not suitable for use in semiconductor manufacturing facilities due to their large size, cost, etc. An example of such a system is described in the article by lemill (lemallet), jermer (Germer), Kline (Kline), et al entitled "mutual comparison between optical and x-ray scatterometry measurements of FinFET structures" (international between optical and x-ray scatterometry measurements), proceedings of the international institute of optical engineering (proc. spie), volume 8681, page 86810Q (2013). Recently, a team of the National Institute of Standards and Technology (NIST) has begun to adopt a study of compact and bright X-ray sources similar to that described in U.S. patent No. 7,929,667. This study is described in an article entitled "X-ray scattering critical dimension metrology using a compact X-ray source for next generation semiconductor devices," journal of micro/nanolithography (j. micro/nanolithography) MEMS MOEMS 16(1),014001 (months 1 to 3 2017).

The interaction of the X-ray beam with the target must be calibrated and aligned using a metrology system to ensure effective measurements. Exemplary characterizations include: precisely locating the peak intensity of the X-ray beam on the target; measuring an intensity distribution of the X-ray beam; the boundary of the X-ray beam is identified such that a certain percentage of the beam flux is outside the boundary. Exemplary alignments include alignment of the X-ray beam with an optical vision system, alignment of the X-ray beam with a particular mechanical feature of the tool (e.g., wafer rotation axis, etc.), and the like.

In general, the wafer is navigated in the path of the X-ray beam based on optical measurements by an optical microscope of alignment marks disposed throughout the wafer. To ensure accurate navigation of a particular target with respect to the X-ray beam, it is necessary to measure the beam profile in the coordinates of the optical microscope employed to measure the indicia.

In some examples, the optical microscope is aligned with the knife edge and the knife edge is aligned with the X-ray beam. Characterization of an X-ray beam with a conventional knife edge is complicated by the translucency of the knife material illuminated by X-ray radiation near the edge of the knife edge. For example, tungsten has a beam attenuation length of about 8.4 microns when illuminated by photons having an energy level of 20 keV. At this length, the transmittance drops to-1/e (e ═ 2.718). For a knife edge shaped at an angle of 30 degrees, the length of the wedge corresponding to a height of 8.4 microns is about 14.5 microns. This simple estimate of the uncertainty in the position of the knife edge during the X-ray beam scan illustrates that the translucency of the knife edge is limited when the required alignment accuracy is less than a few microns (e.g., less than 10 microns).

In some other examples, the X-ray beam profile is characterized by a high resolution X-ray camera positioned at a point relative to the X-ray beam (e.g., a focal point of focusing optics). In these examples, the beam profile is measured using a high resolution X-ray camera, and the measured coordinates of the beam are communicated to an optical microscope employed to navigate the wafer in the path of the X-ray beam. Unfortunately, the errors associated with transferring measured coordinates from the X-ray camera to the optical microscope are significant and exceed the required navigation accuracy.

Furthermore, the characterization of the X-ray beam by the X-ray camera or knife edge is indirect in nature and does not provide quantitative data about the photon flux incident on the target and photon contamination of neighboring regions.

Future metrology applications present metrology challenges due to increasingly smaller resolution requirements, multi-parameter dependencies, increasingly complex geometries (including high aspect ratio structures), and increasing use of opaque materials. Existing methods of X-ray tool alignment and target navigation are limited to accuracies of about 10 to 20 microns. These methods fail to locate and measure metrology targets having small sizes (50 microns) in an X-ray beam with sufficient accuracy for semiconductor metrology applications. Accordingly, methods and systems for improved alignment and calibration of X-ray beams in SAXS systems are desired to meet the placement requirements of advanced manufacturing nodes.

Disclosure of Invention

Methods and systems for locating a sample and characterizing an X-ray beam incident on the sample in a transmission small angle X-ray scattering measurement (T-SAXS) metrology system are described herein. Actual T-SAXS measurements in a semiconductor manufacturing environment require measurements over a large range of incident angles and azimuths relative to the surface of a sample (e.g., a semiconductor wafer) with a small beam spot size (e.g., less than 50 microns across the active illumination spot). Accurate positioning of the wafer and characterization of the beam size and shape are required to achieve a small measurement box size. In addition, calibration is presented herein to accurately position the illumination beam on a desired target area on the surface of a semiconductor wafer over a full range of incident angles and azimuthal angles.

In one aspect, a metrology tool includes a sample positioning system configured to position a wafer vertically (i.e., a plane of a wafer surface is substantially aligned with a gravity vector) and to actively position the wafer in six degrees of freedom relative to an illumination beam. The sample positioning system supports the wafer at the edge, allowing the illumination beam to transmit through the wafer at any location within the active area of the wafer without reinstallation. By supporting the wafer vertically at the wafer edge, gravity-induced dishing of the wafer is effectively mitigated.

In another aspect, a balancer statically balances a rotating mass of the sample positioning system such that a center of gravity of the rotating mass is substantially aligned with its axis of rotation.

In some embodiments, three sensors are disposed on the sample positioning system to measure the distance of the backside of the wafer relative to the sample positioning system. In this way, wafer warp is measured and compensated for by moving the wafer using a tip-tilt-Z stage.

In another aspect, the SAXS metrology system employs at least one beam-blocking calibration target to position the x-ray illumination beam relative to the sample positioning system. The beam-blocking calibration target includes at least one marker and a cylindrical blocking element. An alignment camera is employed to position the markers in the coordinates of the sample positioning system. The position of the marker relative to the cylindrical shading element is known in advance (e.g. with an accuracy of less than 200 nm). Thus, the position of the cylindrical shielding element in the coordinates of the sample positioning system is easily determined by direct coordinate transformation. Scanning the cylindrical shading element across the illumination beam while measuring the detected intensity of the transmitted flux. The center of the illumination beam is accurately positioned relative to the cylindrical shading element based on the measured intensity. Since the position of the cylindrical shielding element in the coordinates of the sample positioning system is known, the position of the center of the illumination beam in the coordinates of the sample positioning system is accurately located by a simple coordinate transformation.

In some examples, a beam-blocking calibration target is employed to calibrate the incident position of the illumination beam relative to the sample positioning system. In some other examples, a beam-blocking calibration target is employed to align the rotational axis of the stage reference frame relative to the illumination beam at the point of incidence of the illumination beam with the wafer.

In another aspect, a SAXS metrology system employs at least one periodic calibration target to position an x-ray illumination beam relative to the sample positioning system. Each periodic calibration target includes one or more spatially defined regions having different periodic structures that diffract X-ray illumination light into distinct diffraction patterns that can be measured by the SAXS metrology system described herein. In addition, each periodic calibration target includes one or more marks that are readable by an optical microscope to position the periodic calibration target relative to the sample positioning system with high alignment accuracy (e.g., 0.5 microns or less alignment accuracy). Each spatially defined region has a spatially well defined boundary line. The boundary line is known to have a high accuracy (e.g., an accuracy of 0.2 microns or less) in one or more dimensions relative to the position of the marker.

In another aspect, the precise alignment of the rotational axis with the illumination beam in the plane of the surface of the wafer is determined based on the interaction of the illumination beam with two or more beam-obscuring calibration targets as measured by the x-ray detector.

In another aspect, the precise alignment of the axis of rotation with a mark of a calibration target in the plane of the surface of the wafer is determined based on an image of the mark collected by an alignment camera mounted to a lateral alignment stage.

In another aspect, the shape of the surface of the wafer in the Z direction is mapped using any of the alignment cameras, optical proximity sensors, capacitive proximity sensors, interferometric-based sensors, or any other suitable proximity sensors. In some examples, the wafer surface is mapped on the front side (i.e., patterned side) of the wafer. In some other examples, the wafer surface is mapped on the back side (i.e., the unpatterned side) of the wafer if the thickness of the wafer is sufficiently uniform, well modeled, or measured in situ or measured in advance.

The foregoing is a summary and thus contains, by necessity, simplifications, generalizations, and omissions of detail; accordingly, those skilled in the art will appreciate that the summary is illustrative only and is in no way limiting. Other aspects, inventive features, and advantages of the devices and/or processes described herein will become apparent in the non-limiting detailed description set forth herein.

Drawings

FIG. 1 is a diagram illustrating a metrology system 100 configured to perform calibration of various system parameters in accordance with the methods described herein.

Fig. 2 depicts an end view of the beam shaping slit mechanism 120 in one configuration.

Fig. 3 depicts an end view of the beam shaping slit mechanism 120 in another configuration.

FIG. 4 depicts an x-ray illumination beam 116 incident on the wafer 101 at a particular orientation described by angles φ and θ.

Fig. 5 is a diagram illustrating sample positioning system 140 with the wafer stage moved to a position where illumination beam 116 is incident on wafer 101.

Fig. 6 is a diagram illustrating sample positioning system 140 with additional detail.

FIG. 7 depicts a beam-blocking calibration target 190 in one embodiment.

Fig. 8A depicts a top view of the illumination beam 116 incident on the wafer 101 as depicted in fig. 5, where the rotational axis 153 intersects the illumination beam 116 at the point of incidence of the illumination beam 116 with the wafer 101.

FIG. 8B depicts a top view of illumination beam 116 incident on wafer 101 as depicted in FIG. 5, with rotational axis 153 misaligned in the Z-direction from the surface of wafer 101.

Fig. 8C depicts a top view of illumination beam 116 incident on wafer 101 as depicted in fig. 5, with rotational axis 153 offset from illumination beam 116 in the X-direction.

Fig. 9 is a diagram illustrating sample positioning system 140 with the wafer stage moved to a position where illumination beam 116 is blocked by cylindrical pin element 151.

Fig. 10 depicts a graph 170 illustrating measured flux as a function of the matched alignment of the cylindrical pins with respect to the illumination beam 116.

Fig. 11 depicts another illustration of sample positioning system 140 including periodic calibration targets 171 positioned on wafer 101.

Fig. 12 depicts an embodiment of a periodic calibration target 210.

Fig. 13 depicts an embodiment of a periodic calibration target 220.

Fig. 14 depicts an embodiment of a periodic calibration target 230.

FIG. 15 depicts an embodiment of a periodic calibration target 240.

Fig. 16 depicts an embodiment of a periodic calibration target 250.

Fig. 17 depicts an embodiment of a periodic calibration target 260.

Fig. 18 depicts an embodiment of a periodic calibration target 270.

Fig. 19A-19B depict a set of periodic calibration targets 290 and 295, each adapted to position an illumination beam in one direction relative to the periodic calibration target.

FIG. 20 depicts a periodic calibration target 280 that includes markers 288 and 289 and seven different periodic regions 281-287 arranged in a hexagonal pattern.

Fig. 21 is a diagram illustrating elements of the metrology system 100 contained in a vacuum environment separate from the sample 101.

FIG. 22 is a diagram illustrating a model construction and analysis engine 180 configured to parse sample parameter values based on T-SAXS data according to the methods described herein.

Fig. 23 depicts a flow diagram illustrating an exemplary method 300 of calibrating an angle of incidence offset value based on T-SAXS measurements at multiple angles of incidence and azimuth angles as described herein.

Detailed Description

Reference will now be made in detail to background examples and some embodiments of the invention, examples of which are illustrated in the accompanying drawings.

Methods and systems for locating a sample and characterizing an X-ray beam incident on the sample in a transmission small angle X-ray scattering measurement (T-SAXS) metrology system are described herein. Actual T-SAXS measurements in a semiconductor manufacturing environment require measurements over a large range of incident angles and azimuths relative to the surface of a sample (e.g., a semiconductor wafer) with a small beam spot size (e.g., less than 50 microns across the active illumination spot). Accurate positioning of the wafer and characterization of the beam size and shape are required to achieve a small measurement box size. In addition, calibration is presented herein to accurately position the illumination beam on a desired target area on the surface of a semiconductor wafer over a full range of incident angles and azimuthal angles.

A six degree of freedom sample positioning system is presented herein. In addition, the dedicated calibration target described herein enables high accuracy characterization of the X-ray beam profile and high accuracy alignment of the X-ray beam relative to the calibration target. This enables precise navigation of the wafer required to measure a small box size metrology target (e.g., a metrology target positioned in a scribe lane having a size of 100 microns or less).

FIG. 1 illustrates an embodiment of a T-SAXS metrology tool 100 for measuring characteristics of a sample in at least one novel aspect. As shown in fig. 1, the system 100 may be used to perform T-SAXS measurements over an inspection region 102 of a sample 101 illuminated by an illumination beam spot.

In the depicted embodiment, the metrology tool 100 includes an x-ray illumination subsystem 125, the x-ray illumination subsystem 125 including an x-ray illumination source 110, focusing optics 111, a beam divergence control slit 112, a middle slit 113, and a beam shaping slit mechanism 120. The x-ray illumination source 110 is configured to generate x-ray radiation suitable for T-SAXS measurements. In some embodiments, the x-ray illumination source 110 is configured to generate wavelengths between 0.01 and 1 nanometer. In general, any suitable high intensity x-ray illumination source capable of generating high intensity x-rays at flux levels sufficient to achieve high throughput, in-line metrology is contemplated to supply x-ray illumination for T-SAXS measurements. In some embodiments, the x-ray source includes a tunable monochromator that enables the x-ray source to deliver x-ray radiation at different selectable wavelengths.

In some embodiments, one or more x-ray sources emitting radiation with photon energies greater than 15keV are employed to ensure that the x-ray sources supply light at a wavelength that allows for sufficient transmission through the entire device and wafer substrate. By way of non-limiting example, any of a particle accelerator source, a liquid anode source, a rotating anode source, a stationary solid anode source, a micro-focus rotating anode source, a plasma-based source, and an inverse Compton (Compton) source may be used as the x-ray illumination source 110. In one example, a reverse compton source available from Lyncean technologies, inc (Palo Alto), Palo Alto, ca, usa, is envisioned. An inverse-compton source has the additional advantage of being able to generate x-rays in a range of photon energies, thereby enabling the x-ray source to deliver x-ray radiation at different selectable wavelengths.

Exemplary x-ray sources include electron beam sources configured to bombard solid or liquid targets to stimulate x-ray radiation. Methods and systems for producing high brightness, liquid metal x-ray illumination are described in united states patent No. 7,929,667, issued to scientific Corporation (KLA-Tencor Corporation) on 19.2011, which is incorporated herein by reference in its entirety.

The X-ray illumination source 110 produces X-ray emissions over a source region having a finite lateral dimension (i.e., a non-zero dimension orthogonal to the beam axis). The focusing optics 111 focus the source radiation onto a metrology target positioned on the sample 101. The finite lateral source size results in a finite spot size 102 on the target defined by a ray 117 from the edge of the source. In some embodiments, the focusing optics 111 comprise elliptical focusing optics.

The beam divergence control slit 112 is positioned in the beam path between the focusing optics 111 and the beam shaping slit mechanism 120. The beam divergence control slit 112 limits the divergence of the illumination provided to the sample to be measured. An additional intermediate slit 113 is positioned in the beam path between the beam divergence control slit 112 and the beam shaping slit mechanism 120. The middle slit 113 provides additional beam shaping. In general, however, the middle slot 113 is optional.

The beam shaping slit mechanism 120 is positioned in the beam path immediately before the sample 101. In one aspect, the slits of the beam shaping slit mechanism 120 are positioned in close proximity to the sample 101 to minimize the expansion of the incident beam spot size defined by the finite source size due to beam divergence. In one example, for a 10 micron x-ray source size and a distance of 25 millimeters between the beam shaping slit and the sample 101, the spread in beam spot size due to the finite source size due to shadowing is approximately 1 micron.

In some embodiments, the beam-shaping slit mechanism 120 includes a plurality of independently actuated beam-shaping slits. In one embodiment, the beam shaping slit mechanism 120 includes four independently actuated beam shaping slits. These four beam shaping slits effectively block a portion of the incoming beam 115 and produce an illumination beam 116 having a box-like illumination cross section.

Fig. 2 and 3 depict end views of the beam shaping slit mechanism 120 depicted in fig. 1 in two different configurations. As illustrated in fig. 2 and 3, the beam axis is perpendicular to the drawing sheet. As depicted in fig. 2, the incoming beam 115 has a large cross-section. In some embodiments, the incoming beam 115 has a diameter of approximately 1 millimeter. Furthermore, the position of the incoming beam 115 within the beam shaping slits 126-129 may have an uncertainty of approximately 3 millimeters due to beam pointing errors. To accommodate the uncertainty in the size of the incoming beam and the beam position, each slit has a length L of approximately 6 millimeters. As depicted in fig. 2, each slit may be moved in a direction perpendicular to the beam axis. In the illustration of fig. 2, the slits 126-129 are positioned at a maximum distance from the beam axis (i.e., the slits are fully open and they do not restrict light from passing through the beam shaping slit mechanism 120).

Fig. 3 depicts the slits 126-129 of the beam shaping slit mechanism 120 in a position that blocks a portion of the incoming beam 115 such that the outgoing beam 116 delivered to the sample to be measured has a reduced size and well-defined shape. As depicted in fig. 3, each of the slits 126-129 has been moved axially inward toward the beam to achieve a desired output beam shape.

The slits 126-129 are constructed of a material that minimizes scattering and effectively blocks incident radiation. Exemplary materials include single crystal materials such as germanium, gallium arsenide, indium phosphide, and the like. Typically, the slit material is split along the crystallographic direction rather than sawed to minimize scattering across the structure boundaries. In addition, the slits are oriented with respect to the incoming beam such that interaction between the incoming radiation and the internal structure of the slit material produces a minimal amount of scattering. A crystal is attached to each slit holder made of a high density material (e.g., tungsten) for completely blocking the x-ray beam on one side of the slit. In some embodiments, each slit has a rectangular cross-section with a width of approximately 0.5 millimeters and a height of approximately 1-2 millimeters. As depicted in fig. 2, the length L of the slit is approximately 6 millimeters.

Generally, x-ray optics shape and direct x-ray radiation to sample 101. In some examples, the x-ray optics include an x-ray monochromator to monochromate an x-ray beam incident on the sample 101. In some examples, the x-ray optics use multi-layer x-ray optics to collimate or focus the x-ray beam onto the measurement region 102 of the sample 101 to less than 1 milliradian divergence. In these examples, the multilayer x-ray optic also functions as a beam monochromator. In some embodiments, the x-ray optics include one or more x-ray collimating mirrors, x-ray apertures, x-ray beam stops, refractive x-ray optics, diffractive optics (e.g., zone plates), Montel (Montel) optics, specular x-ray optics (e.g., grazing incidence ellipsoidal mirrors), polycapillary optics (e.g., hollow capillary x-ray waveguides), multilayer optics or systems, or any combination thereof. Additional details are described in U.S. patent publication No. 2015/0110249, the contents of which are incorporated herein by reference in their entirety.

X-ray detector 119 collects X-ray radiation 114 scattered from sample 101 and generates an output signal 135 indicative of a property of sample 101 sensitive to incident X-ray radiation according to a T-SAXS measurement modality. In some embodiments, scattered x-rays 114 are collected by x-ray detector 119 while sample positioning system 140 positions and orients sample 101 to produce angularly resolved scattered x-rays.

In some embodiments, the T-SAXS system includes one or more photon counting detectors with high dynamic range (e.g., greater than 105). In some embodiments, the single photon counting detector detects the location and number of detected photons.

In some embodiments, the x-ray detector resolves one or more x-ray photon energies and generates a signal indicative of a property of the sample for each x-ray energy component. In some embodiments, x-ray detector 119 includes any of a CCD array, a microchannel plate, a photodiode array, a microstrip ratio counter, a gas filled ratio counter, a scintillator, or a fluorescent material.

In this way, x-ray photon interactions within the detector are discerned by energy in addition to pixel location and count. In some embodiments, the X-ray photon interaction is discerned by comparing the energy of the X-ray photon interaction to a predetermined upper threshold and a predetermined lower threshold. In one embodiment, this information is communicated to computing system 130 via output signal 135 for further processing and storage.

In another aspect, a T-SAXS system is employed to determine a property (e.g., a structural parameter value) of a sample based on one or more diffraction orders of scattered light. As depicted in fig. 1, the metrology tool 100 includes a computing system 130 employed to acquire signals 135 generated by the detector 119 and determine sample properties based at least in part on the acquired signals.

In some examples, T-SAXS-based metrology involves determining the dimensions of a sample by inverse solving a predetermined measurement model using measured data. The measurement model includes several (about ten) adjustable parameters and represents the geometry and optical properties of the sample and the optical properties of the measurement system. Inverse solutions include, but are not limited to, model-based regression, tomography, machine learning, or any combination thereof. In this way, the target profile parameters are estimated by solving the values of a parameterized measurement model that minimizes the error between the measured scattered x-ray intensities and the modeled results.

It may be desirable to perform measurements over a wide range of angles of incidence and azimuth to increase the accuracy and precision of the measured parameter values. This approach reduces the correlation between parameters by expanding the number and diversity of data sets available for analysis to include a variety of large angle, out-of-plane orientations. For example, in a vertical orientation, T-SAXS is able to resolve the critical dimensions of a feature, but is largely insensitive to the sidewall angle and height of the feature. However, by collecting measurement data over a wide range of out-of-plane angular orientations, the sidewall angle and height of the feature can be resolved. In other examples, measurements performed over a wide range of angles of incidence and azimuth angles provide sufficient resolution and penetration depth to characterize high aspect ratio structures through their entire depth.

A measurement of the intensity of diffracted radiation as a function of the angle of incidence of x-rays with respect to the normal to the wafer surface is collected. The information contained in the multiple diffraction orders is typically unique between each model parameter considered. Thus, x-ray scatter produces estimates of the value of the parameter of interest with small errors and reduced parameter dependence.

Each orientation of the illuminating x-ray beam 116 relative to the surface normal of the wafer 101 is described by any two angular rotations of the semiconductor wafer 101 relative to the x-ray illumination beam 115, or vice versa. In one example, the orientation may be described with respect to a coordinate system fixed to the wafer. FIG. 4 depicts an x-ray illumination beam 116 incident on the wafer 101 at a particular orientation described by an incident angle θ and an azimuthal angle φ. The coordinates are XYZ fixed to the metrology system (e.g., illumination beam 116) and coordinate system X ' Y ' Z ' is fixed to wafer 101. The Y-axis is aligned in a plane with the surface of wafer 101. X and Z are not aligned with the surface of wafer 101. Z ' is aligned with an axis perpendicular to the surface of wafer 101, and X ' and Y ' are in a plane aligned with the surface of wafer 101. As depicted in fig. 4, the x-ray illumination beam 116 is aligned with the Z-axis and thus lies within the XZ plane. The angle of incidence θ describes the orientation of the x-ray illumination beam 116 with respect to the surface normal of the wafer in the XZ plane. In addition, the azimuth angle φ describes the orientation of the XZ plane relative to the X 'Z' plane. θ and φ together uniquely define the orientation of the x-ray illumination beam 116 with respect to the surface of the wafer 101. In this example, the orientation of the x-ray illumination beam relative to the surface of wafer 101 is described by rotation about an axis perpendicular to the surface of wafer 101 (i.e., the Z' axis) and rotation about an axis aligned with the surface of wafer 101 (i.e., the Y axis). In some other examples, the orientation of the x-ray illumination beam relative to the surface of wafer 101 is described by rotation about a first axis aligned with the surface of wafer 101 and another axis aligned with and perpendicular to the surface of wafer 101.

In one aspect, metrology tool 100 includes a sample positioning system 140, sample positioning system 140 configured to vertically position the wafer (i.e., the plane of the wafer surface is substantially aligned with the gravity vector) and actively position sample 101 in six degrees of freedom relative to illumination beam 116. In addition, sample positioning system 101 is configured to align sample 101 and orient sample 101 over a range of large angles of incidence (e.g., at least 70 degrees) and azimuthal angles (e.g., at least 190 degrees) relative to illumination beam 116. In some embodiments, sample positioning system 140 is configured to rotate sample 101 over a large range of rotational angles (e.g., at least 70 degrees) aligned within the surface plane of sample 101. In this manner, angle-resolved measurements of the sample 101 are collected by the metrology system 100 at any number of locations and orientations on the surface of the sample 101. In one example, the computing system 130 communicates command signals (not shown) to the sample positioning system 140 indicative of a desired position of the sample 101. In response, the sample positioning system 140 generates command signals to various actuators of the sample positioning system 140 to achieve the desired positioning of the sample 101.

Fig. 5 depicts a sample positioning system 140 in one embodiment. In one aspect, sample positioning system 140 provides active control of the position of wafer 101 relative to illumination beam 116 in all six degrees of freedom while supporting wafer 101 vertically relative to the gravity vector (i.e., the gravity vector is substantially in the same plane as the wafer surface). Sample positioning system 140 supports wafer 101 at the edge of wafer 101, allowing illumination beam 116 to transmit through wafer 101 in any portion of the active area of wafer 101 without re-mounting wafer 101. By supporting wafer 101 vertically at the edge of wafer 101, gravity-induced dishing of wafer 101 is effectively mitigated.

As depicted in fig. 5, sample positioning system 140 includes a base frame 141, a lateral alignment stage 142, a stage reference frame 143, and a wafer stage 144 mounted to stage reference frame 143. For reference purposes, { X }BF,YBF,ZBFAttached to the base frame 141, { X } coordinate systemNF,YNF,ZNFAttached to the lateral alignment stage 142, { X } coordinate systemRF,YRF,ZRFAttached to the stage reference frame 143, and { X } coordinate systemSF,YSF,ZSFThe coordinate system is attached to the wafer stage 144. The wafer 101 is supported on the wafer stage 144 by a tip-tilt-Z stage 156 that includes actuators 150A through C. A rotary stage 158 mounted to the tip-tilt-Z stage 156 orients the wafer 101 relative to the illumination beam 116 over an azimuthal angle phi. In the depicted embodiment, three linear actuators 150A-C are mounted to the wafer stage 144 and support a rotary stage 158, the rotary stage 158 in turn supporting the wafer 101.

The actuator 145 causes the lateral alignment stage 142 to move along the XBFThe shaft translates relative to the base frame 141. The rotary actuator 146 causes the stage reference frame 143 to surround YNFThe axis-aligned rotational axis 153 rotates relative to the lateral alignment stage 142. The rotary actuator 146 orients the wafer 101 with respect to the illumination beam 116 over a range of incident angles θ. Wafer stage actuators 147 and 148 move wafer stage 144 along X, respectivelyRFShaft and YRFThe axis translates relative to the stage reference frame 143.

In one aspect, wafer stage 144 is an open aperture, two axis (XY) linear stack stage. The open aperture allows the measurement beam to be transmitted through any portion of the entire wafer (e.g., a 300 mm wafer). The wafer stage 144 is arranged such that the Y-axis stage extends in a direction substantially parallel to the rotational axis 153. Further, the Y-axis stage extends in a direction substantially aligned with the gravity vector.

Actuators 150A-C operate in coordination to move rotary stage 158 and wafer 101 in ZSFTranslate in direction relative to wafer stage 144 and rotate stage 158 and wafer 101 around and XSF-YSFThe planar coplanar axis is tilted and tilted relative to the wafer stage 144. The rotary stage 158 rotates the wafer 101 about an axis perpendicular to the surface of the wafer 101. In another aspect, the frame of rotary stage 158 is coupled to actuators 150A-C by a kinematic mount system that includes kinematic mount elements 157A-C, respectively. In one example, each motion mounting element 157A-C includes a ball attached to a corresponding actuator and a V-shaped slot attached to the rotary stage 158. Each ball makes two-point contact with a corresponding V-shaped slot. Each kinematic mount element constrains motion of rotary stage 158 relative to actuators 150A-C in two degrees of freedom, and three kinematic mount elements 157A-C collectively constrain motion of rotary stage 158 relative to actuators 150A-C in six degrees of freedom. Each motion coupling element is preloaded to ensure that the ball remains in contact with the corresponding V-shaped slot at all times. In some embodiments, the preload is provided by gravity, a mechanical spring mechanism, or a combination thereof.

In yet another aspect, the rotational stage 158 is an open aperture, rotational stage. The open aperture allows the measurement beam to be transmitted through any portion of the entire wafer (e.g., a 300 mm wafer). The rotary stage 158 is arranged such that its axis of rotation is substantially perpendicular to the axis of rotation 153. Further, the axis of rotation of the rotary stage 158 is substantially perpendicular to the gravity vector. The wafer 101 is secured to the rotary stage 158 via an edge gripper to provide full wafer coverage with minimal edge exclusion.

In summary, sample positioning system 140 is capable of actively controlling the position of wafer 101 relative to illumination beam 116 in six degrees of freedom such that illumination beam 116 may be incident at any location on the surface of wafer 101 (i.e., at X)FRAnd YRFAt least 300 mm in direction). The rotational actuator 146 is capable of rotating the stage reference frame 143 relative to the illumination beam 116 such that the illumination beam 116 can be incident at the surface of the wafer 101 at any one of a large range of angles of incidence (e.g., greater than two degrees). In one embodiment, the rotational actuator 146 is configured to rotate the stage reference frame 143 through a range of at least sixty degrees. A rotary actuator 158 mounted to the wafer stage 144 is capable of rotating the wafer 101 relative to the illumination beam 116 such that the illumination beam 116 can be incident at the surface of the wafer 101 over any of a large range of azimuthal angles (e.g., at least a ninety degree range of rotation). In some embodiments, the azimuthal range is at least one hundred ninety degree range of rotation.

In some other embodiments, the lateral alignment stage 142 is removed and the stage reference frame 143 is rotated relative to the base frame 141 by the rotation actuator 146. In these embodiments, the X-ray illumination system includes one or more actuators that move one or more optical elements of the X-ray illumination system to cause the X-ray illumination beam 116 to be, for example, at XBFMoving in direction relative to the base frame 141. In these embodiments, for example, movement of stage reference stage 143 (replaced by movement of one or more optical elements of the x-ray illumination system for calibration purposes as described herein) moves the x-ray illumination beam to a desired position relative to rotational axis 153. In the embodiment depicted in fig. 1 and 21, computing system 130 communicates command signals 138 to actuator subsystem 111' to redirect x-ray emission relative to base frame 141 by moving one or more elements of x-ray illumination subsystem 125 to achieve a desired beam direction. In the depicted embodiment, the actuator subsystem 111' moves the focusing optics 111 to redirect the x-ray emissions relative to the base frame 141, and thus reposition the x-ray emissions relative to the rotational axis 153.

FIG. 6 depicts another illustration of the sample positioning system 140 in more detail. Like numbered elements depicted in fig. 6 are similar to elements described with reference to fig. 5. As depicted in fig. 5, the rotary actuator 146 rotates a bulk body comprising the stage reference frame 143, the wafer stage 144, the tip-tilt-Z stage 156, and the rotary stage 158 about the axis of rotation 153. As depicted in fig. 6, wafer stage 144, flip-tilt-Z stage 156, and rotary stage 158 are offset a significant distance from rotational axis 153.

In another aspect, a balancer 159 is mounted to the stage reference frame 143 to balance the wafer stage 144, the tip-tilt-Z stage 156, and the rotary stage 158, etc., such that the center of gravity of the rotating mass of the stage reference frame 143 and all mounting elements is substantially aligned with the axis of rotation 153. In this manner, the force applied by the actuator 146 produces a torque about the rotational axis 153 with minimal parasitic linear forces.

As depicted in fig. 6, an air bearing 172 is employed to guide movement of the lateral alignment stage 142 relative to the base frame 141. Similarly, air bearings 171 are employed to guide movement of the stage reference frame 143 relative to the lateral alignment stage 142. Air bearings operating on a precision granite surface minimize stiction and provide shaft stability. This improves positioning performance (i.e., high repeatability and small settling time) while supporting large loads.

To ensure that the intersection of illumination beam 116 with the surface of wafer 101 does not change over a large range of incident angles, rotation axis 153 must have very small synchronous and asynchronous errors. In addition, any Abbe error (Abbe error) must be minimized. To minimize abbe error, the air bearings 171 are equally spaced radially about the axis of rotation 153. The bearing ring is large enough to prevent large angle errors. The bearings are vertically constrained by laterally aligning the surface of the stage 142. In some embodiments, the surface of the lateral alignment stage 142 is perpendicular to the precision ground granite surface of the rotational axis 153.

Generally, sample positioning systems provide automated positioning of semiconductor wafers in six degrees of freedom. In addition, the sample positioning system includes edge gripping features and actuators on the rotary stage to efficiently load and unload wafers in vertical positions in coordination with the wafer handling robot.

In some embodiments, three sensors are disposed on the sample positioning system to measure the distance of the backside of the wafer relative to the sample positioning system. In this way, wafer warp is measured and compensated for by moving the wafer using the tip-tilt-Z stage.

In another aspect, the SAXS metrology system employs at least one beam-blocking calibration target to position the x-ray illumination beam relative to the sample positioning system. The beam-blocking calibration target includes at least one marker and a cylindrical blocking element. An alignment camera is employed to position the markers in the coordinates of the sample positioning system. The position of the marker relative to the cylindrical shading element is known in advance (e.g. with an accuracy of less than 200 nm). Thus, the position of the cylindrical shielding element in the coordinates of the sample positioning system is easily determined by direct coordinate transformation. The cylindrical shading element is scanned across the illumination beam when measuring the detected intensity of the transmitted flux. The center of the illumination beam is accurately positioned relative to the cylindrical shading element based on the measured intensity. Since the position of the cylindrical shielding element in the coordinates of the sample positioning system is known, the position of the center of the illumination beam in the coordinates of the sample positioning system is accurately located by a simple coordinate transformation.

In some examples, a beam-blocking calibration target is employed to calibrate the incident position of the illumination beam relative to the sample positioning system. In some other examples, a beam-blocking calibration target is employed to align the rotational axis of the stage reference frame relative to the illumination beam at the point of incidence of the illumination beam with the wafer.

FIG. 7 depicts a beam-blocking calibration target 190 in one embodiment. In the embodiment depicted in fig. 7, the beam-blocking calibration target 190 includes precisely shaped cylindrical pins 192 and a frame 191 supporting the cylindrical pins 192. Cylindrical pins 192 are manufactured with precise dimensions (e.g., tolerances of less than 0.5 microns) of high surface quality and target uncertainty magnitude.

In some embodiments, frame 191 can be a structure that is mounted to a sample positioning system (e.g., sample positioning system 140). In these embodiments, the beam-blocking calibration target 190 is mounted to the sample positioning system 140 rather than the calibration wafer. In some other embodiments, the frame 191 may be a dedicated calibration wafer that includes one or more cylindrical pins attached to the wafer itself. In these embodiments, the beam-blocking calibration target 190 is mounted to a calibration wafer. The beam-blocking calibration target 190 also includes openings 193 on one or both sides of the cylindrical pin 192. The opening 193 is sized such that the illumination beam (e.g., illumination beam 197) is capable of passing through the beam-blocking calibration target 190 without blocking (e.g., at least 2 millimeters by 2 millimeters). The beam-blocking calibration target 190 also includes one or more markers (e.g., markers 195 and 196) that can be read by an optical microscope mounted to the sample positioning system. The position of the markers 195 and 196 relative to the edges 198 and 199 of the cylindrical pin is precisely known. In this manner, the position of the edge of cylindrical pin 192 is determined from the position of either or both of markers 195 and 196 by a simple coordinate transformation.

Cylindrical pin-like shading elements largely eliminate the limited transparency problem that arises when using a knife edge as an alignment target. The beam path through the cylindrical pin is defined by the radius R of the cylinder and the depth of illumination S of the beam path relative to the edge of the cylindrical pin. When R is significantly greater than S, the length L of the beam path through the cylindrical pin is approximately calculated by equation (1).

When a tungsten carbide cylindrical pin with a diameter of about 2 mm is used, the edge position uncertainty of hard X-rays due to translucency is less than one micron. In general, cylindrical pins 192 may be made of any suitably dense, high atomic number material. By way of non-limiting example, cylindrical pin 192 may be constructed of tungsten carbide, tungsten, platinum, or the like. The diameter of the cylindrical pin should be large enough so that the induced uncertainty in edge position due to the translucency of the material is well within the total alignment error budget. Typically, a diameter of 2 millimeters to 3 millimeters is sufficient to maintain the induced uncertainty in edge location due to the translucency of the material below one to two microns.

As depicted in fig. 7, the beam block calibration target 190 includes one or more flat surfaces (e.g., flat surface 194) that are accurately aligned with the axis of the cylindrical pin 192. In some examples, surface 194 is a reference surface for measuring the target location by a distance sensor (e.g., a capacitive probe, inductive probe, etc.) in a direction collinear with the X-ray beam. Additionally, in some embodiments, one or more markers are positioned on the planar surface. For example, as depicted in fig. 7, a marker 195 is positioned on the planar surface 194.

In the embodiment depicted in fig. 5, the beam-blocking calibration targets 151 and 152 are mounted to the frame of the rotary stage 158 such that the central axes of the cylindrical pins are substantially coplanar with the surface of the wafer 101. As depicted in FIG. 5, the cylindrical pin 151 includes a pin YNFThe axis is substantially parallel to the aligned central axis and the cylindrical pin 152 includes a central axis aligned with the XRFThe axes are substantially parallel to the aligned central axis. Each cylindrical pin obscures the beam by absorbing a large portion of any impinging x-rays.

Sample positioning system 140 also includes an alignment camera 154 mounted to stage reference frame 143. In the depicted embodiment, the alignment camera is mounted to and thus rotates with the stage reference frame. Alignment camera 154 is configured to produce a high resolution image of an object (e.g., wafer 101) in its field of view. In some embodiments, the alignment camera 154 also includes an autofocus mechanism that maintains sharp image focus by precisely moving the focal point of the camera through a measured distance. In some of these embodiments, the alignment camera 154 may be used to measure the relative distance between the stage reference frame to which the camera body is mounted and the wafer 101 or marks 151A and 152A imaged by the camera by monitoring the z-displacement of the focal point of the camera.

In some other embodiments, the alignment camera is mounted to the lateral alignment stage 142. In some of these embodiments, the alignment camera is used to measure { X ] to which the camera body is mounted by monitoring the position of optical marks mounted to wafer 101 or marks 151A and 152A within the field of view of the alignment cameraNF,YNF,ZNFThe relative distance between the coordinate system and the wafer 101 or the marks 151A and 152A imaged by the camera.

In another aspect, the precise location of incidence of the illumination beam in two dimensions in the plane of the surface of the wafer is determined based on the interaction of the illumination beam with two or more beam-blocking calibration targets.

Fig. 9 is a diagram illustrating sample positioning system 140 with the wafer stage moved to a position where illumination beam 116 is blocked by cylindrical pin element 151. The exact incident position of the illumination beam with respect to the cylindrical pin 151 is determined based on the transmitted flux measured by the detector 119 as a function of the x-position of the cylindrical pin 151 with respect to the illumination beam 116 (e.g., the base frame 141). As depicted in FIG. 9, with the cylindrical pin 151 in the positive X direction (at X)BFIn direction), more and more of the illumination beam 116 is blocked by the cylindrical pin 151. Therefore, fewer photons reach the detector 119. However, with the cylindrical pin 151 in the negative X direction (and X)BFConversely), less and less of the illumination beam 116 is blocked by the cylindrical pin 151. The detector 119 generates a signal 155 indicative of the measured flux as a function of X position and the results are analyzed to identify the position of the cylindrical pin corresponding to the center of the illumination beam 116.

Fig. 10 depicts a graph 170 illustrating measured flux as a function of the matched alignment of the cylindrical pins with respect to the illumination beam 116. The depicted relationship between the measured flux 155 and the phase alignment is a sigmoid function (e.g., a logical or other error function depending on the beam profile).

In some examples, the beam center is determined as the phase alignment of the cylindrical pin relative to the illumination beam, with the measured flux at a minimum flux value FMINWith a maximum flux value FMAXOr the middle of the maximum of the derivative dF/dx. However, in some other examples, the beam center may be determined at another flux value different from the median of the range of measured fluxes. In some examples, a more precise relationship is determined by modeling the interaction of the beam with the material and geometry of the cylindrical pin. In these examples, the modeled interaction and the measured transmitted flux are compared, and a fitting algorithm is used to determine the relative position of the cylindrical pin with respect to the illumination beam (which is aligned with the beam center) based on the fitting of the measured results to the model.

In one example, the current position of the cylindrical pin 151 relative to the center of the illumination beam 116 coincides with the beam centerIs based on the measured flux F varying as a function of the cylindrical pin positionMEASFlux midpoint FMIDAnd the inverse of the derivative of the measured flux, as described by equation (2)

And FMIDDescribed by equation (3).

The maximum and minimum values of the measured flux can be measured by scanning the wafer stage while measuring the transmitted flux. Further, the slope at the midpoint may also be estimated. Based on these quantities, an estimate of the change in the center position of the cylindrical pin is determined from equation (2) only by measuring the flux at one location. The change in center position may be iteratively determined as necessary to converge on the center position.

Since the beam has centroid components in two directions (e.g., the X-direction and the Y-direction), two cylindrical pins are measured, each oriented perpendicular to the direction of the centroid component. In the embodiment depicted in fig. 9, cylindrical pin 151 is employed to position the beam center in the X-direction relative to the stage reference frame and cylindrical pin 152 is employed to position the beam center in the Y-direction relative to the stage reference frame. In general, more than two cylindrical pins may be utilized to create redundancy and increase the accuracy of the calibration of the beam position.

As depicted in fig. 9, the center of the illumination beam 116 is aligned with the edges of the vertically and horizontally oriented cylindrical pins 151 and 152 as described above. In the embodiment depicted in FIG. 9, the fiducial mark 151A is positioned coplanar with the central axis of the cylindrical pin 151. Similarly, fiducial mark 152A is positioned coplanar with a central axis of cylindrical pin 152. At the position where the beam center is aligned with the cylindrical pin 151, the position of the illumination beam 116 is recorded by the alignment camera 154 relative to the cylindrical pin 151 or a reference 151A at or near the cylindrical pin. This registers the phase alignment of the illumination beam with respect to the precise location in the field of view of the alignment camera (assuming the focus position does not change). As depicted in fig. 5, wafer 101 is moved within the field of view of alignment camera 154. Wafer 101 is moved so that a desired location (e.g., fiducial mark) on the wafer is imaged within the field of view of alignment camera 154. The position of the illumination beam 116 relative to the desired position is determined by the alignment camera 154 based on the previous registration. In this way, the position of the illumination beam 116 on the wafer 101 in the X-direction and the Y-direction is quickly estimated based on the images collected by the alignment camera 154. In some embodiments, the Z position of the wafer in the Z direction relative to the cylindrical pins 151 is measured by changing the focus position of the alignment camera 154 until the lithographic features on the surface of the wafer 101 reach a precise focus. The change in focus position indicates a Z position difference between the cylindrical pin and the imaging location on the wafer. In some other embodiments, the position of the wafer in the Z direction relative to the Z position of the cylindrical pins 151 is measured by one or more optical proximity sensors, capacitive proximity sensors, interferometric-based sensors, or other suitable proximity sensors. Actuators 150A-C may be employed to reposition wafer 101 in the Z direction to reposition the imaging position to be in the same plane as the cylindrical pins (e.g., fiducial 151A).

In another aspect, the location of incidence of the illumination beam is determined at any location of the wafer based on the wafer stage coordinates. Once the center of the illumination beam is aligned with the vertical and horizontal cylindrical pins, and the position of the illumination beam relative to the cylindrical pins is recorded by the alignment camera as described above, the incident position of the illumination beam can be communicated to the stage coordinates. As depicted in fig. 5, wafer 101 is moved within the field of view of alignment camera 154. The movement of wafer 101 is measured by a position measurement system (e.g., linear encoder, etc.) of wafer stage 144. By moving wafer 101 to three or more desired locations (e.g., fiducial marks) on the wafer imaged within the field of view of alignment camera 154, the position of the illumination beam relative to the desired locations and the position of the wafer in the stage coordinates are determined at each desired location. Based on the known position of the illumination beam and the stage coordinates at the three or more positions, a map is generated that correlates the stage coordinates with the incident position of the illumination beam.

After positioning the cylindrical pin 151 at the center of the illumination beam 116 (in the X-direction), the alignment camera 154 images the position of the cylindrical pin itself or a fiducial marker positioned on or near the cylindrical pin to establish a relationship between the beam position and the image position within the field of view of the alignment camera 154. Since the alignment camera 154 is positioned in a fixed or repositionable position relative to the stage reference frame 143, the image registers the position of the illumination beam relative to the stage reference frame 143 and thus serves as a reference for the beam position in the X-direction. In addition, alignment camera 154 establishes a precise focus position of the fiducial marks to establish a precise Z position of the cylindrical pins relative to stage reference frame 143. For embodiments in which the alignment camera 154 rotates with the stage reference frame, the focus position of the alignment camera 154 serves as a reference for the Z position of the cylindrical pins relative to the stage reference frame.

Since the beam incidence position is estimated using the occluded flux, there is a risk that a change in flux in the illumination beam will be interpreted as a positional shift. In some embodiments, the flux of the illumination beam is measured immediately before, after, or simultaneously with the occlusion measurement. Variations in the illumination flux are compensated in the analysis of the measured flux 155 to eliminate its effect on the measurement.

In another aspect, the precise alignment of the rotational axis 153 with the illumination beam in the plane of the surface of the wafer is determined based on the interaction of the illumination beam with two or more beam-obscuring calibration targets as measured by the x-ray detector 119.

To ensure measurement integrity, the position of the illumination beam 116 incident on the surface of the wafer 101 should remain fixed over a large range of angles of incidence and azimuth angles during the measurement. To accomplish this, the axis of rotation 153 of the stage reference frame 143 must be substantially coplanar with the surface of the wafer 101 at the measurement location. In addition, the rotation axis 153 must be at XBFIs directionally aligned with the illumination beam 116 such that the axis of rotation 153 intersects the illumination beam 116 at the point of incidence of the illumination beam 116 with the wafer 101 at the measurement location.

Fig. 8A depicts a top view of illumination beam 116 incident on wafer 101 as depicted in fig. 5. Fig. 8A depicts an end view of the rotation axis 153 in an aligned state, wherein the rotation axis 153 intersects the illumination beam 116 at a location 103 on the wafer 101 at the point of incidence of the illumination beam 116 with the wafer 101. As depicted in fig. 8A, illumination beam 116 remains incident at location 103 as wafer 101 rotates about rotational axis 153 within a large angle of incidence. Thus, in this case, the incident position of illumination beam 116 on the surface of wafer 101 remains fixed over a large range of incident angles during measurement.

Fig. 8B depicts a top view of illumination beam 116 incident on wafer 101 as depicted in fig. 5. FIG. 8B depicts an end view of the rotational axis 153 in an aligned state, wherein the rotational axis 153 is misaligned from the surface of the wafer 101 by a distanceAs depicted in fig. 8B, as wafer 101 rotates about rotational axis 153 over a large range of incident angles θ, a portion of location 103 is no longer illuminated (i.e., some other portion of wafer 101 is illuminated instead). Thus, in this case, the incident position of the illumination beam 116 on the surface of the wafer 101 drifts over a large range of angles of incidence during the measurement, which is highly undesirable.

Fig. 8C depicts a top view of illumination beam 116 incident on wafer 101 as depicted in fig. 5. FIG. 8C depicts an end view of the rotation axis 153 in an aligned state, where the rotation axis 153 is coplanar with the surface of the wafer 101 but offset a distance from the illumination beam 116As depicted in fig. 8C, as wafer 101 rotates about axis of rotation 153 over a large range of incident angles θ, a portion of location 103 is no longer illuminated (i.e., some other portion of wafer 101 is illuminated instead). Thus, in this case, the incident position of the illumination beam 116 on the surface of the wafer 101 drifts over a large range of angles of incidence during the measurement, which is highly undesirable.

In some embodiments, by pairing the center of the illumination beam with the X-direction cylindrical pin 151Calibrating and measuring the flux at a plurality of different rotational positions θ of the stage reference frame enables calibration of the rotational axis of the stage reference frame. The apparent motion (Δ X) of the cylindrical pin in the X direction is determined based on a selected occlusion model as described above (e.g., the sigmoid function depicted in fig. 1 or another model). In addition, the apparent motion of the cylindrical pin in the X direction is a function of: 1) distance of cylindrical pin from rotation axis in x directionAnd the distance from the axis of rotation in the z direction2) Distance in the x-direction from the beam center and the axis of rotation 153And 3) the rotation angle θ about the rotational axis 153 of the stage reference frame. The relationship is described in equation (4).

In one example, the transmitted flux is measured at three angles of incidence { - Θ,0, + Θ }. The system of linear equations described by equation (5) is derived from equation (4).

Equation (6) is obtained by inverse-calculating equation (5). Equation (6) is solved from the apparent motion of the cylindrical pin in the X directionAndthe value of (c).

Equation (6) is solved from the apparent motion of the cylindrical pin in the X direction determined from the measured flux in combination with equation (3)Andthe value of (c). In some examples, the obtaining is iteratively as described by equation (7)Andthe solution of the value.

Where k is an iteration index and w is a vector of displacement values of the actuators of sample positioning system 140 required to align rotation axis 153 with knife edge 151 in the X-direction and Z-directionDisplacement is achieved by moving the entire stage reference frame 143 in the X direction relative to the illumination beam 116 by actuator 145Displacement is achieved by the actuator 147 moving the cylindrical pin 151 back into alignment with the beamDisplacement is achieved by actuators 150A-C moving the cylindrical pin in the Z direction to align the axis of rotation 153 in the Z direction with the central axis plane of the cylindrical pinStarting with an initial estimate w0The recursion of equation (7) willConverging to a point where the axis of rotation 153 is aligned to the cylindrical pin 151.

In general, equation (7) need not be applied accurately. A can be calculated by numerical approximationΘAndthe value of (c). In other examples, other matrices may be used as long as the iteration stabilizes and converges on the correct values.

In general, the transmitted flux may be measured at any three or more different angles of incidence to determine the amount of displacement required to align the rotating shaft 153 with the cylindrical pin 151 in the X-direction and the Z-direction. The selection of any three different angles of incidence results in a system of linear equations that can be directly inverted. The selection of four or more different angles of incidence results in an overdetermined system of linear equations that can be solved with a pseudo-inverse algorithm to determine the displacement values required to align the rotating shaft 153 with the cylindrical pin 151 in the X-direction and the Z-direction. The matrix terms illustrated in equations (5) and (6) depend on the selected angle of incidence. Thus, in examples where different angles of incidence are selected, the terms will be different from equations (5) and (6).

In another aspect, the precise alignment of the rotational axis 153 with the marks of the calibration target in the plane of the surface of the wafer (e.g., the marks 151A of the beam-blocking calibration target 151, the marks positioned on the wafer 101, etc.) is determined based on images of the marks collected by an alignment camera mounted to the lateral alignment stage 142.

Apparent motion (Δ X) of the marker in the X-direction within the field of view of the alignment camera is the distance of the marker in the X-direction from the axis of rotationAnd the distance from the axis of rotation in the z directionAnd the rotation angle theta around the rotation axis 153 of the stage reference frame. For an alignment camera mounted to the lateral alignment stage 142, the relationship is described in equation (8).

In some examples, the X position of the mark (e.g., mark 151A) is measured at any three different angles of incidence to determine the displacement values required to align the rotational axis 153 with the cylindrical pin 151 in the X and Z directions. The selection of any three different angles of incidence results in a straightforward inverse calculation to solve for the distance of the mark in the x-direction from the axis of rotationAnd the distance from the axis of rotation in the z directionA system of linear equations of (c).

For an idealized beam-blocking calibration target and rotation axis, it would be sufficient to have only one beam-blocking calibration target for beam calibration. However, depending on the requirements of the system, multiple beams may be required to obscure the calibration target. By aligning the edges of a plurality of shading elements, the rotation axis and the nominal Y can be deducedNFAny deviation of the axis. Also, multiple identical occlusion elements allow for edge calibration from right and left sides or top and bottom sides, helping to eliminate systematic errors in the imaged edges (i.e., imaged by alignment camera 154) and apparent edges inferred by occluded flux changes.

In another aspect, the SAXS metrology system employs at least one periodic calibration target to position the x-ray illumination beam relative to the sample positioning system. Each periodic calibration target includes one or more spatially defined regions having different periodic structures that diffract X-ray illumination light into distinct diffraction patterns that can be measured by the SAXS metrology system described herein. In addition, each periodic calibration target includes one or more marks that can be read by an optical microscope to position the periodic calibration target relative to the sample positioning system with high alignment accuracy (e.g., 0.5 microns or less alignment accuracy). Each spatially defined region has a spatially well defined boundary line. The boundary line is known to have a high accuracy (e.g., an accuracy of 0.2 microns or less) in one or more dimensions relative to the position of the marker.

In some embodiments, each periodic zone is sized larger than the projection of the illumination beam onto the periodic calibration target. In this way, the beam profile can be characterized by scanning the illumination beam across an interface between each different periodic region sized larger than the illumination beam. In some embodiments, the illumination beam 116 has a beam width of less than 200 microns. In some embodiments, the illumination beam 116 has a beam width of less than 100 microns. In some embodiments, the illumination beam 116 has a beam width of less than 50 microns. Additionally, in some examples, calibration measurements are performed at large angles of incidence. In these examples, the projection of the illumination beam onto the periodic calibration target is elongated in one direction, and each periodic zone is sized larger than the projected illumination area.

In some embodiments, the size of each periodic zone is different depending on the direction relative to the illumination beam. For example, the periodic zones may be larger in a direction perpendicular to the axis of rotation 153 to accommodate large angles of incidence. In another example, the illumination beam may be larger in one direction than in another (e.g., a rectangular illumination beam shape) and the periodic zones may be larger in the elongated direction.

In some embodiments, the dimensions of one or more of the periodic zones are sized to match a desired measurement box size. In one example, one of the periodic regions is sized to match the illumination beam size (e.g., 50 square microns or 100 square microns) or some other number for calibrating the alignment of the rotational axis 153 with respect to the illumination beam 116. In this example, perfect alignment is achieved when the illumination beam 116 is not moving relative to the periodic calibration target within a large AOI range. In this example, if the illumination beam is moved relative to the periodic calibration target as the AOI changes, the illumination beam will move from a periodicity sized to match the illumination beam size to an adjacent periodic region. This movement of the illumination beam across the boundary between zones is detected by detector 119.

In general, a set of periodic calibration targets or a set of regions of a periodic calibration target includes different sized regions for characterizing beam profile and size. In general, the one or more regions may have been sized to be larger, smaller, or the same as the size of the illumination beam.

In general, the periodicity of the periodic calibration target is optimized to enhance x-ray scatter contrast. The pitch of each periodic structure is small enough to ensure sufficient spatial separation of the detected stages at the detector. The angle of each diffraction order should be significantly larger than the beam divergence to ensure sufficient spatial separation, and the angle of each diffraction order increases as the separation decreases. In some embodiments, the pitch of each periodic structure should be about 0.1 microns (e.g., less than 200 nanometers) to ensure sufficient spatial separation and measurement accuracy.

Each periodic structure is made of a material (e.g., tungsten carbide, platinum, etc.) having a high contrast to hard X-rays and a large atomic number.

In addition, each periodic structure is fabricated with sufficient height to produce a measurable diffraction pattern within a reasonable exposure time. In some instances, periodic structures having a height of 0.5 millimeters or more are advantageous.

In some embodiments, any of the periodic calibration targets described herein are mounted to a sample positioning system (e.g., sample positioning system 140). In some other embodiments, any of the periodic calibration targets described herein are mounted to a calibration wafer or production wafer to be measured.

FIG. 11 depicts another illustration of the sample positioning system 140 in more detail. Like numbered elements depicted in fig. 11 are similar to elements described with reference to fig. 5. In the embodiment depicted in fig. 11, a periodic calibration target 171 is positioned on wafer 101.

The periodic calibration target 171 includes at least one mark and a plurality of periodic structures (e.g., gratings). If the illumination beam 116 is incident on two or more different diffraction patterns, the ratio of the measured intensities of the orders associated with the different periodic structures provides information about the position of the illumination beam relative to the illuminated patterns. Alignment camera 154 is employed to position the markers in the coordinates of the sample positioning system. The position of the mark relative to the periodic structure is known in advance. Thus, the position of the periodic structure in the coordinates of the sample positioning system is easily determined by direct coordinate transformation. The periodic calibration target 171 is scanned across the illumination beam 116 while measuring the detected intensity of the diffraction orders by the detector 119. The center of the illumination beam 116 is accurately positioned relative to the periodic calibration target 171 based on the measured intensity. Since the position of the periodic calibration target 171 in the coordinates of the sample positioning system is known, the position of the center of the illumination beam in the coordinates of the sample positioning system is accurately located by a simple coordinate transformation.

In some examples, a periodic calibration target is employed to calibrate the incident position of the illumination beam relative to the sample positioning system. In some other examples, a periodic calibration target is employed to align the rotational axis of the stage reference frame relative to the illumination beam at the point of incidence of the illumination beam with the wafer. In some other examples, the periodic calibration target is scanned across the illumination beam at a number of azimuthal angles. In this way, the beam profile is characterized in addition to calibrating the position of the illumination beam relative to the target.

In some embodiments, the periodic calibration target includes a central periodic region and one or more periodic regions surrounding the central periodic region. Each periodic region includes a different pitch, a different pitch orientation, or a combination thereof.

Fig. 12 depicts an embodiment of a periodic calibration target 210. As depicted in fig. 12, the periodic calibration target 210 includes markers 211 and 212 that can be read by an optical microscope mounted to the sample positioning system, small-pitch periodic structures 215 positioned in a central region 214, and larger-pitch periodic structures 213 in a peripheral region around the central region 214. The marks 211 and 212 are positioned in the same plane as the periodic structure of the periodic calibration target. In addition, the positions of the markers 211 and 212 relative to the boundary of the central region 214 are precisely known. In this way, the location of the boundary is determined from the location of either or both of the markers 211 and 212 by a simple coordinate transformation.

Illumination of the central region 214 (i.e., periodic structure 215) by the illumination beam 116 results in multi-order diffraction across the detector 119 with relatively large spacing (e.g., 100 microns) in the horizontal direction. Illumination of the peripheral region (i.e., periodic structure 213) by illumination beam 116 results in multiple orders of diffraction across detector 119 with smaller spacing in the horizontal direction due to the larger pitch of grating 213. The intensity ratio between the measured levels of the grating 215 and the grating 213 indicates the position of the illumination beam 116 relative to the borderline between the central region 214 and the peripheral region.

Fig. 13 depicts an embodiment of a periodic calibration target 220. As depicted in fig. 13, the periodic calibration target 220 includes markers 221 and 222 that are readable by an optical microscope mounted to the sample positioning system, vertically disposed periodic structures 225 positioned in a central region 224, and horizontally disposed periodic structures 223 in a peripheral region around the central region 224. The marks 221 and 222 are positioned in the same plane as the periodic structure of the periodic calibration target. In addition, the positions of the markers 221 and 222 relative to the boundary of the central region 224 are precisely known. In this way, the location of the boundary is determined from the location of either or both markers 221 and 222 by a simple coordinate transformation.

Illumination of the central region 224 (i.e., periodic structure 225) by the illumination beam 116 results in multiple orders of diffraction across the detector 119 in the horizontal direction. Illumination of the peripheral region (i.e., periodic structure 223) by illumination beam 116 results in multiple orders of diffraction across detector 119 in the vertical direction. The intensity ratio between the measured levels of the grating 225 and the grating 223 is indicative of the position of the illumination beam 116 relative to the borderline between the central region 224 and the peripheral region.

Fig. 14 depicts an embodiment of a periodic calibration target 230. As depicted in fig. 14, the periodic calibration target 230 includes markers 231 and 232 readable by an optical microscope mounted to the sample positioning system, a horizontally disposed periodic structure 233 in a peripheral region around a central region 234 that is completely free of periodic structures. The marks 231 and 232 are positioned in the same plane as the periodic structure of the periodic calibration target. In addition, the position of the markers 231 and 232 relative to the boundary of the central region 234 is precisely known. In this way, the position of the boundary is determined from the position of either or both of the markers 231 and 232 by a simple coordinate transformation.

Illumination of the central region 234 by the illumination beam 116 results in no diffraction; only zero order is detected. Illumination of the peripheral region (i.e., periodic structure 233) by illumination beam 116 results in multiple orders of diffraction across detector 119 in the vertical direction. The intensity ratio between the measured level and the zero-order intensity of the grating 233 indicates the position of the illumination beam 116 relative to the borderline between the central region 234 and the peripheral region.

In some embodiments, the periodic calibration target includes any number of periodic regions that intersect at a common point. In this way, the X-ray illumination beam is aligned with a common point shared by each of the periodic regions. Each periodic region includes a different pitch, a different pitch orientation, or a combination thereof.

FIG. 15 depicts an embodiment of a periodic calibration target 240. As depicted in fig. 15, the periodic calibration target 240 includes markers 241 and 242 that can be read by an optical microscope mounted to the sample positioning system and four periodic regions positioned in an orthogonal arrangement. As depicted in FIG. 15, the vertically disposed periodic structures 243 are positioned in the first quadrant, the horizontally disposed periodic structures 244 are positioned in the second quadrant, the vertically disposed periodic structures 245 are positioned in the third quadrant, and the horizontally disposed periodic structures 246 are positioned in the fourth quadrant. The markers 241 and 242 are positioned in the same plane as the periodic structure of the periodic calibration target. In addition, the location of the markers 241 and 242 relative to a common point in the orthogonally arranged centers is precisely known. In this way, the location of the common point is determined from the location of either or both of the markers 241 and 242 by a simple coordinate transformation.

Illuminating structures 243 and 245 by illumination beam 116 results in multiple orders of diffraction across detector 119 in the horizontal direction. Illumination of structures 244 and 246 by illumination beam 116 results in multiple orders of diffraction in the vertical direction across detector 119. The intensity ratio between the measured levels indicates the location of the illumination beam 116 relative to a common point shared by the structures 243-246.

Fig. 16 depicts an embodiment of a periodic calibration target 250. As depicted in fig. 16, periodic calibration target 250 includes markers 251 and 252 that are readable by an optical microscope mounted to the sample positioning system and four periodic zones positioned in an orthogonal arrangement. As depicted in FIG. 16, the periodic structures 253 at-45 degrees relative to the vertical orientation are positioned in a first quadrant, the periodic structures 254 at 45 degrees relative to the vertical orientation are positioned in a second quadrant, the horizontally disposed periodic structures 255 are positioned in a third quadrant, and the vertically disposed periodic structures 256 are positioned in a fourth quadrant. The markers 251 and 252 are positioned in the same plane as the periodic structure of the periodic calibration target. In addition, the positions of the markers 251 and 252 relative to a common point in the orthogonally arranged centers are precisely known. In this way, the location of the common point is determined from the location of either or both of the markers 251 and 252 by a simple coordinate transformation.

Illumination of structures 253 and 254 by illumination beam 116 results in multiple orders of diffraction across detector 119 at +45 degrees and-45 degrees, respectively. Illuminating structures 255 and 256 by illumination beam 116 results in multiple orders of diffraction across detector 119 in the vertical and horizontal directions, respectively. The intensity ratios between the measured levels indicate the location of the illumination beam 116 relative to a common point shared by the structures 253-256.

Fig. 17 depicts an embodiment of a periodic calibration target 260. As depicted in fig. 17, the periodic calibration target 260 includes markers 261 and 262 that are readable by an optical microscope mounted to the sample positioning system and four periodic zones positioned in an orthogonal arrangement. As depicted in FIG. 17, vertically disposed periodic structures 263 with a relatively small pitch are positioned in the first quadrant, horizontally disposed periodic structures 264 with a relatively large pitch are positioned in the second quadrant, vertically disposed periodic structures 265 with a relatively large pitch are positioned in the third quadrant, and horizontally disposed periodic structures 266 with a relatively small pitch are positioned in the fourth quadrant. Markers 261 and 262 are positioned in the same plane as the periodic structure of the periodic calibration target. In addition, the positions of markers 261 and 262 relative to a common point in the orthogonally arranged centers are precisely known. In this way, the location of the common point is determined from the locations of either markers 261 and 262 or both by a simple coordinate transformation.

Illuminating structures 263 and 265 with illumination beam 116 results in multiple orders of diffraction in the horizontal direction across detector 119. Illumination of structures 264 and 266 by illumination beam 116 results in multiple orders of diffraction in the vertical direction across detector 119. The stages associated with structures 263 and 266 are spaced differently from the stages associated with structures 264 and 265. The intensity ratio between the measured levels indicates the location of the illumination beam 116 relative to a common point shared by the structures 263-266.

Fig. 18 depicts an embodiment of a periodic calibration target 270. As depicted in fig. 18, the periodic calibration target 270 includes markers 271 and 272 that can be read by an optical microscope mounted to the sample positioning system and four periodic zones positioned in an orthogonal arrangement. As depicted in FIG. 18, vertically disposed periodic structures 273 having a relatively small pitch are positioned in the first quadrant, horizontally disposed periodic structures 274 having a relatively large pitch are positioned in the second quadrant, vertically disposed periodic structures 275 having a relatively small pitch are positioned in the third quadrant, and horizontally disposed periodic structures 276 having a relatively large pitch are positioned in the fourth quadrant. The marks 271 and 272 are positioned in the same plane as the periodic structure of the periodic calibration target. In addition, the positions of the marks 271 and 272 with respect to a common point in the center of the orthogonal arrangement are precisely known. In this way, the position of the common point is determined from the positions of either markers 271 and 272 or both by simple coordinate transformation.

Illuminating the structures 273 and 275 with the illumination beam 116 results in multiple orders of diffraction across the detector 119 in the horizontal direction. Illumination of the structures 274 and 276 by the illumination beam 116 results in multi-order diffraction in a vertical direction across the detector 119. The stages associated with structures 273 and 275 are spaced differently from the stages associated with structures 274 and 276. The intensity ratios between the measured diffraction orders indicate the location of the illumination beam 116 relative to a common point shared by the structures 273-276.

Fig. 19A-B depict a set of periodic calibration targets 290 and 295, each adapted to position an illumination beam in one direction relative to the periodic calibration target. When the SAXS metrology system is calibrated with both targets 290 and 295, the position of the illumination beam relative to the sample positioning system is determined in two orthogonal dimensions. As depicted in fig. 19A, the periodic calibration target 290 includes markers 291 and 292 that are readable by an optical microscope mounted to the sample positioning system and two periodic zones positioned adjacent to each other along a boundary line. As depicted in fig. 19A, the horizontally disposed periodic structures 293 are positioned side-by-side with the vertically disposed periodic structures 294. The marks 291 and 292 are positioned in the same plane as the periodic structure of the periodic calibration target. In addition, the locations of the marks 291 and 292 relative to the boundary between the structures 293 and 294 are precisely known. In this way, the position of the boundary line is determined from the positions of either or both of the markers 291 and 292 by a simple coordinate transformation.

Illuminating structures 293 and 294 with illumination beam 116 results in multiple orders of diffraction across detector 119 in the vertical and horizontal directions, respectively. The intensity ratio between the measured levels indicates the position of the illumination beam 116 relative to the boundary line shared by the structures 293 and 294.

Similarly, as depicted in fig. 19B, periodic calibration target 295 includes marks 296 and 297 that are readable by an optical microscope mounted to the sample positioning system and two periodic zones positioned adjacent to each other along a boundary line. As depicted in fig. 19B, the boundary lines of the target 295 are orthogonal to the boundary lines of the target 290. As depicted in fig. 19B, the horizontally disposed periodic structures 298 are positioned side-by-side with the vertically disposed periodic structures 299. Marks 296 and 297 are located in the same plane as the periodic structure of the periodic calibration target. In addition, the locations of marks 296 and 297 relative to the boundary between structures 298 and 299 are precisely known. In this way, the position of the boundary line is determined from the positions of either or both of the marks 296 and 297 through a simple coordinate transformation.

Illuminating structures 298 and 299 by illumination beam 116 results in multiple orders of diffraction across detector 119 in the vertical and horizontal directions, respectively. The intensity ratio between the measured levels indicates the position of the illumination beam 116 relative to the boundary line shared by the structures 298 and 299.

In general, the periodic calibration target may include a plurality of different periodic regions in any suitable configuration. In some embodiments, the periodic zones are arranged in a cartesian pattern. However, other patterns of periodic zones are contemplated.

FIG. 20 depicts a periodic calibration target 280 that includes markers 288 and 289 and seven different periodic regions 281-287 arranged in a hexagonal pattern. Each periodic region includes a different pitch, a different pitch orientation, or a combination thereof.

In another aspect, the shape of the surface of the wafer in the Z-direction is mapped using any of an alignment camera, an optical proximity sensor, a capacitive proximity sensor, an interferometric-based sensor, or any other suitable proximity sensor. In some examples, the wafer surface is mapped on the front side (i.e., patterned side) of the wafer. In some other examples, the wafer surface is mapped on the back side (i.e., the unpatterned side) of the wafer if the thickness of the wafer is sufficiently uniform, well modeled, or measured in situ or measured in advance. In some embodiments, a backside sensor is employed to measure wafer warpage since many sensor technologies can be used to accurately measure the location of the unpatterned surface. In some of these embodiments, only the backside sensor is employed to measure wafer warpage across the backside of the wafer and the wafer warpage across the front side is estimated based on a thickness model or thickness map generated from thickness measurements performed in advance. In some other embodiments, both backside and frontside sensors are employed to measure wafer warpage. In some of these embodiments, a backside sensor is employed to measure wafer warpage across the backside of the wafer and the wafer warpage across the front side is estimated based on a thickness model or thickness map generated at least in part from wafer thickness estimates derived from the front side and backside measurements. In some examples, the wafer map is modeled using several standard interpolators (e.g., polynomial basis functions, rational functions, neural networks, etc.). In addition, the lateral displacement and the height displacement may be coupled using an analytical or numerical bending model of the wafer.

In another aspect, the Z actuators 150A-C are controlled to adjust the Z position, Rx orientation, Ry orientation, or any combination thereof, in response to the shape of the surface of the wafer at the location of incidence of the illumination beam 116. In one example, the tilt of the wafer is corrected by the Z actuators 150A-C. The tilt correction may be based on a wafer tilt map or locally measured tilt values. This may also be accomplished using an optical-based tilt sensor that monitors Rx orientation and Ry orientation (i.e., tip and tilt) at the back surface of the wafer.

In yet another aspect, the Z actuators 150A-C are controlled to adjust the Z position, Rx orientation, Ry orientation, or any combination thereof to align the axis of rotation in azimuth with the stage reference frame 143. In one example, the Z actuators 150A-C are adjusted such that a particular target remains in focus on the alignment camera 154 over a range of azimuth angles. To perform this calibration, the wafer stage translates the wafer 101 in the X and Y directions to maintain the target in the field of view of the alignment camera 154 for all azimuthal angles.

In general, all offset effects cannot be calibrated. The calibration to remove the largest deviations is typically chosen and the remaining offsets are ignored or handled by the stage map that accounts for non-idealities in the wafer and stage.

In addition, changes in temperature and air pressure or any other ambient conditions may have an effect on the positioning of the illumination beam. In some embodiments, beam motion is correlated to these variables and the position of the beam is adjusted based on measured temperature and pressure and a correlation model.

In general, sample positioning system 140 may include any suitable combination of mechanical elements to achieve desired linear and angular positioning performance, including, but not limited to, goniometer stages, hexapod stages, angular stages, and linear stages.

In some embodiments, x-ray illumination source 110, focusing optics 111, slits 112 and 113, or any combination thereof, are maintained in the same atmospheric environment (e.g., a gas scavenging environment) as sample 101. However, in some embodiments, the optical path lengths between and within any of these elements are long and x-ray scattering in air causes noise in the image on the detector. Thus, in some embodiments, any of x-ray illumination source 110, focusing optics 111, and slits 112 and 113 are maintained in a localized vacuum environment. In the embodiment depicted in fig. 1, the focusing optics 111, slits 112 and 113, and beam shaping slit mechanism 120 are maintained in a controlled environment (e.g., vacuum) within the evacuated flight tube 118. Illumination beam 116 passes through window 121 at the end of flight tube 118 before being incident on sample 101.

In some embodiments, any of x-ray illumination source 110, focusing optics 111, and slits 112 and 113 are maintained in a localized vacuum environment separated from each other and from the sample (e.g., sample 101) by a vacuum window. FIG. 21 is a diagram illustrating vacuum chamber 160 containing x-ray illumination source 110, vacuum chamber 162 containing focusing optics 111, and vacuum chamber 163 containing slits 112 and 113. The opening of each vacuum chamber is covered by a vacuum window. For example, the opening of the vacuum chamber 160 is covered by a vacuum window 161. Similarly, the opening of vacuum chamber 163 is covered by vacuum window 164. The vacuum window may be constructed of any suitable material that is substantially transparent to x-ray radiation (e.g., polyimide, beryllium, etc.). A suitable vacuum environment is maintained within each vacuum chamber to minimize scattering of the illumination beam. A suitable vacuum environment may include any suitable vacuum degree, any suitable purge environment including a gas having a small atomic number (e.g., helium), or any combination thereof. In this way, as many illumination beam paths as possible are positioned in the vacuum to maximize flux and minimize scattering.

Similarly, in some embodiments, the optical path (i.e., the collection beam path) length between sample 101 and detector 119 is long and x-ray scatter in air causes noise in the image on the detector. Thus, in a preferred embodiment, a significant portion of the collection beam path length between sample 101 and detector 119 is maintained in a localized vacuum environment separated from the sample (e.g., sample 101) by a vacuum window (e.g., vacuum window 124). In some embodiments, the x-ray detector 119 is maintained in a localized vacuum environment of the same beam path length between the sample 101 and the detector 119. For example, as depicted in fig. 1 and 21, the vacuum chamber 123 maintains a localized vacuum environment surrounding the detector 119 and a significant portion of the beam path length between the sample and the detector 119.

In some other embodiments, the x-ray detector 119 is maintained in the same atmospheric environment (e.g., a gas scavenging environment) as the sample 101. This may be advantageous for removing heat from the detector 119. However, in these embodiments, it may be preferable to maintain a significant portion of the beam path length between the sample 101 and the detector 119 in a localized vacuum environment within the vacuum chamber.

In some embodiments, the entire optical system (including sample 101) is maintained in a vacuum. However, in general, the cost associated with maintaining sample 101 in a vacuum is high due to the complexity associated with the construction of sample positioning system 140.

In yet another aspect, the beam shaping slit mechanism 120 is mechanically integrated with the vacuum chamber 163 to minimize the beam path length subject to the atmospheric environment. In general, it may be desirable to encapsulate as much of the beam as possible in a vacuum before the beam is incident on the sample 101. In some embodiments, the vacuum beamline extends into a hollow, cylindrical cavity at the input of the beam shaping slit mechanism 120. A vacuum window 164 is positioned within beam shaping slot mechanism 120 at the output of vacuum chamber 163 such that the incoming beam 115 remains in vacuum within a portion of beam shaping slot mechanism 120, then passes through vacuum window 164 before interacting with any of slits 126-129 and sample 101.

In yet another aspect, the computing system 130 is configured to: generating a structural model (e.g., a geometric model, a material model, or a combined geometric and material model) of the measured structure of the sample; generating a T-SAXS response model comprising at least one geometric parameter from the structural model; and resolving the at least one sample parameter value by performing a fitting analysis of the T-SAXS measurement data with the T-SAXS response model. An analysis engine is used to compare the simulated T-SAXS signal to the measured data, thereby allowing determination of the geometry of the sample as well as material properties (e.g., electron density). In the embodiment depicted in FIG. 1, computing system 130 is configured as a model construction and analysis engine configured to implement model construction and analysis functionality as described herein.

FIG. 22 is a diagram illustrating an exemplary model construction and analysis engine 180 implemented by computing system 130. As depicted in fig. 22, model construction and analysis engine 180 includes a structure model construction module 181 that generates a structure model 182 of the measured structure of the sample. In some embodiments, the structural model 182 also includes material properties of the sample. Structural model 182 is received as an input to T-SAXS response function builder module 183. T-SAXS response function construction module 183 generates T-SAXS response function model 184 based, at least in part, on structure model 182. In some examples, T-SAXS response function model 184 is based on an x-ray shape factor,

where F is the shape factor, q is the scattering vector, and ρ (r) is the electron density of the sample in spherical coordinates. The x-ray scattering intensity is then given by the following equation

T-SAXS response function model 184 is received as an input to fit analysis module 185. The fit analysis module 185 compares the modeled T-SAXS response to the corresponding measured data to determine the geometry of the sample as well as the material properties.

In some examples, fitting of the modeling data to the experimental data is achieved by minimizing the chi-squared value. For example, for a T-SAXS measurement, the chi-squared value may be defined as:

whereinIs the measured T-SAXS signal 126 in "channel" j, where the index j describes a set of system parameters, such as diffraction orders, energies, angular coordinates, etc.Is directed to a set of structural (target) parameters v1,…,vLModeling T-SAXS Signal S for evaluated "channel" jjWhere these parameters describe geometry (CD, sidewall angle, overlap, etc.) and material (electron density, etc.). SigmaSAXS,jIs associated with the jth channelUncertainty of (2). N is a radical ofSAXSIs the total number of channels in x-ray metrology. L is the number of parameters characterizing the metrology target.

Equation (11) assumes that the uncertainties associated with the different channels are uncorrelated. In instances where the uncertainties associated with different channels are correlated, the covariance between the uncertainties may be calculated. In these examples, the chi-squared value for the T-SAXS measurement may be expressed as

Wherein VSAXSIs the covariance matrix of SAXS channel uncertainty and T denotes the transpose.

In some examples, the fit analysis module 185 resolves the at least one sample parameter value by performing a fit analysis on the T-SAXS measurement data 135 with the T-SAXS response model 184. In some examples, optimization

As described above, the fitting of the T-SAXS data is achieved by minimizing the chi-squared value. In general, however, the fitting of the T-SAXS data may be accomplished by other functions.

Fitting of T-SAXS metrology data is advantageous for any type of T-SAXS technique that provides sensitivity to geometric and/or material parameters of interest. The sample parameters may be deterministic (e.g., CD, SWA, etc.) or statistical (e.g., root mean square height of sidewall roughness, roughness correlation length, etc.) so long as an appropriate model describing the T-SAXS beam interaction with the sample is used.

In general, the computing system 130 is configured to access the model parameters in real time using Real Time Critical Dimension (RTCD), or it may access a pre-computed model library to determine the value of at least one sample parameter value associated with the sample 101. In general, some form of CD engine may be used to evaluate the difference between the assigned CD parameter of the sample and the CD parameter associated with the measured sample. Exemplary methods and systems for calculating sample parameter values are described in U.S. patent No. 7,826,071, issued to KLA-Tencor Corporation, 11/2/2010, which is incorporated herein by reference in its entirety.

In some examples, model construction and analysis engine 180 improves the accuracy of the measured parameters by any combination of side-fed feed (feed-forward) analysis, feed-forward analysis, and parallel analysis. Side-fed analysis refers to acquiring multiple data sets on different areas of the same sample and passing a common parameter determined from a first data set onto a second data set for analysis. Feed forward analysis refers to acquiring data sets on different samples and forward passing a common parameter to subsequent analysis using a step-by-step replication exact parameter feed forward method. Parallel analysis refers to the application of a non-linear fitting method to multiple data sets in parallel or simultaneously, where at least one common parameter is coupled during fitting.

Multi-tool and structural analysis refers to feed-forward, side-fed, or parallel analysis based on regression, lookup tables (i.e., "library" matching), or another fitting procedure of multiple data sets. Exemplary methods and systems for multi-tool and structural analysis are described in united states patent No. 7,478,019, issued to KLA-Tencor Corp, 2009, 13, which is incorporated herein by reference in its entirety.

In yet another aspect, initial estimates of one or more parameters of interest are determined based on T-SAXS measurements performed at a single orientation of an incident x-ray beam relative to a measurement target. The initial estimate is implemented as a starting value for a parameter of interest for regression using a measurement model of measurement data collected from T-SAXS measurements at multiple orientations. In this way, a close estimate of the parameter of interest is determined using a relatively small amount of computational effort, and by implementing this close estimate as a starting point for a regression within a much larger data set, a refined estimate of the parameter of interest is obtained using less overall computational effort.

In another aspect, the metrology tool 100 includes a computing system (e.g., computing system 130) configured to implement beam control functionality as described herein. In the embodiment depicted in fig. 1, the computing system 130 is configured as a beam controller operable to control any of the illumination properties, such as intensity, divergence, spot size, polarization, spectrum, and positioning of the incident illumination beam 116.

As illustrated in fig. 1, the computing system 130 is communicatively coupled to the detector 119. The computing system 130 is configured to receive measurement data 135 from the detector 119. In one example, the measurement data 135 includes an indication of the measured response (i.e., the intensity of the diffraction orders) of the sample. Based on the distribution of the measured response over the surface of the detector 119, the location and area of the illumination beam 116 incident on the sample 101 is determined by the computing system 130. In one example, pattern recognition techniques are applied by the computing system 130 to determine the location and area of the illumination beam 116 incident on the sample 101 based on the measurement data 135. In some examples, the computing system 130 communicates a command signal 137 to the x-ray illumination source 110 to select a desired illumination wavelength. In some examples, the computing system 130 communicates command signals 138 to the actuator subsystem 111' to redirect x-ray emissions relative to the base frame 141 to achieve a desired beam direction. In some examples, the computing system 130 communicates a command signal 136 to the beam shaping slit mechanism 120 to change the beam spot size such that the incident illumination beam 116 reaches the sample 101 at a desired beam spot size and orientation. In one example, the command signal 136 causes the rotary actuator 122 depicted in fig. 5 to rotate the beam shaping slit mechanism 120 to a desired orientation relative to the sample 101. In another example, the command signal 136 causes an actuator associated with each of the slits 126-129 to change position to reshape the input beam 116 into a desired shape and size. In some other examples, computing system 130 communicates command signals to wafer positioning system 140 to position and orient sample 101 so that incident illumination beam 116 reaches a desired position and angular orientation relative to sample 101.

In another aspect, the T-SAXS measurement data is used to generate an image of the measured structure based on the measured intensities of the detected diffraction orders. In some embodiments, the T-SAXS response function model is generalized to describe scattering from a generic electron density network. Matching this model to the measured signals while constraining the modeled electron density in this net to implement continuity and sparse edges provides a three-dimensional image of the sample.

Although model-based geometric parameter inversion is preferred for Critical Dimension (CD) metrology based on T-SAXS measurements, the map of the sample generated from T-SAXS measurement data can be used to identify and correct for model errors when the measured sample deviates from the assumptions of the geometric model.

In some examples, the images are compared to structural properties estimated from model-based geometric parametric inversion of the same scatterometry measurement data. The differences are used to update the geometric model of the measured structure and improve measurement performance. The ability to converge on an accurate parametric measurement model is particularly important when measuring integrated circuits to control, monitor, and troubleshoot their manufacturing process.

In some examples, the image is a two-dimensional (2-D) map of electron density, absorption, complex refractive index, or a combination of these material properties. In some examples, the image is a three-dimensional (3-D) map of electron density, absorption, complex refractive index, or a combination of these material properties. The graph is generated using relatively few physical constraints. In some examples, one or more parameters of interest, such as Critical Dimension (CD), sidewall angle (SWA), overlay, edge placement error, pitch walk (pitch walk), etc., are estimated directly from the resulting map. In some other examples, the map may be used to debug wafer processes when the sample geometry or material deviates outside of the range of expected values as envisaged by the parametric structure model for model-based CD measurement. In one example, the difference between the graph and the rendition of the structure predicted by the parametric structure model from its measured parameters is used to update the parametric structure model and improve its measurement performance. Additional details are described in U.S. patent publication No. 2015/0300965, the contents of which are incorporated herein by reference in their entirety. Additional details are described in U.S. patent publication No. 2015/0117610, the contents of which are incorporated herein by reference in their entirety.

In another aspect, model construction and analysis engine 180 is employed to generate a model that is analyzed by combined x-ray and optical measurements. In some examples, the optical simulation is based on, for example, Rigorous Coupled Wave Analysis (RCWA), in which Maxwell's equations are solved to calculate the optical signal, such as reflectivity for different polarizations, ellipsometric parameters, phase variation, and the like.

Values for one or more parameters of interest are determined based on a combined fit analysis of detected intensities and detected optical intensities of x-ray diffraction orders at a plurality of different angles of incidence using a combined geometric parameterized response model. The optical intensity is measured by an optical metrology tool that may or may not be mechanically integrated with an x-ray metrology system (such as system 100 depicted in fig. 1). Additional details are described in U.S. patent publication No. 2014/0019097 and U.S. patent publication No. 2013/0304424, the contents of each of which are incorporated herein by reference in their entirety.

In general, metrology targets are characterized by an aspect ratio defined as the maximum height dimension (i.e., the dimension perpendicular to the wafer surface) of the metrology target divided by the maximum lateral extent dimension (i.e., the dimension aligned with the wafer surface). In some embodiments, the metrology target to be measured has an aspect ratio of at least 20. In some embodiments, the metrology target has an aspect ratio of at least 40.

It should be recognized that the various steps described throughout this disclosure may be performed by a single computer system 130 or, alternatively, by multiple computer systems 130. Further, different subsystems of system 100 (e.g., sample positioning system 140) may include a computer system suitable for carrying out at least a portion of the steps described herein. Accordingly, the foregoing description is not to be construed as limiting, but merely as illustrative of the present invention. Further, the one or more computing systems 130 may be configured to perform any other step(s) of any of the method embodiments described herein.

Additionally, the computer system 130 may be communicatively coupled to the x-ray illumination source 110, the beam shaping slit mechanism 120, the sample positioning system 140, and the detector 119 in any manner known in the art. For example, the one or more computers 130 may be coupled to computing systems associated with the x-ray illumination source 110, the beam shaping slit mechanism 120, the sample positioning system 140, and the detector 119, respectively. In another example, any of the x-ray illumination source 110, the beam shaping slit mechanism 120, the sample positioning system 140, and the detector 119 may be directly controlled by a single computer system coupled to the computer system 130.

The computer system 130 may be configured to receive and/or acquire data or information from subsystems of the system (e.g., the x-ray illumination source 110, the beam shaping slit mechanism 120, the sample positioning system 140, the detector 119, and the like) over a transmission medium that may include wired and/or wireless portions. In this manner, the transmission medium may serve as a data link between computer system 130 and other subsystems of system 100.

The computer system 130 of the metrology system 100 may be configured to receive and/or acquire data or information (e.g., measurements, modeling inputs, modeling results, etc.) from other systems over a transmission medium that may include wired and/or wireless portions. In this manner, the transmission medium may serve as a data link between the computer system 130 and other systems (e.g., the memory on-board metering system 100, external memory, or external systems). For example, computing system 130 may be configured to receive measurement data (e.g., signal 135) from a storage medium (i.e., memory 132 or 190) via a data link. For example, the spectral results obtained using detector 119 may be stored in a permanent or semi-permanent memory device (e.g., memory 132 or 190). In this regard, the measurement results may be imported from on-board memory or from an external memory system. Further, computer system 130 may send data to other systems via a transmission medium. For example, the sample parameter values 186 determined by the computer system 130 may be stored in a permanent or semi-permanent memory device (e.g., memory 190). In this regard, the measurement may be exported to another system.

Computing system 130 may include, but is not limited to, a personal computer system, a host computer system, a workstation, an image computer, a parallel processor, or any other device known in the art. In general, the term "computing system" may be broadly defined to encompass any device having one or more processors that execute instructions from a memory medium.

The program instructions 134 embodying the methods such as those described herein may be transmitted over a transmission medium such as a wire, cable or wireless transmission link. For example, as illustrated in FIG. 1, program instructions stored in memory 132 are transmitted to processor 131 over bus 133. The program instructions 134 are stored in a computer-readable medium, such as the memory 132. Exemplary computer readable media include read-only memory, random-access memory, magnetic or optical disks or tape.

Fig. 23 illustrates a method 300 suitable for implementation by the metrology system 100 of the present invention. In one aspect, it should be appreciated that the data processing blocks of method 300 may be carried out via pre-programmed algorithms executed by one or more processors of computing system 130. While the following description is presented in the context of a metrology system 100, it should be recognized herein that the particular structural aspects of metrology system 100 are not meant to be limiting and should be interpreted as illustrative only.

In block 301, an x-ray illumination beam is generated by an x-ray illumination subsystem.

In block 302, a sample is positioned relative to an x-ray illumination beam such that the x-ray illumination beam is incident on a surface of the sample at any location on the surface of the sample.

In block 303, the sample is rotated about an axis of rotation relative to the x-ray illumination beam such that the x-ray illumination beam is incident on the surface of the sample at any location at a plurality of angles of incidence.

In block 304, the sample is rotated about an azimuthal rotation axis such that the x-ray illumination beam is incident on the surface of the sample at any location at a plurality of azimuthal angles.

In block 305, a calibration target is illuminated using an x-ray illumination beam. The calibration target includes one or more markers.

In block 306, an amount of transmitted flux is detected over a range of positions of the sample positioning system, wherein at least a portion of the x-ray illumination beam is incident on the calibration target over the range of positions.

In block 307, the location of incidence of the x-ray illumination beam is determined relative to the sample positioning system based on the detected amount of transmitted flux.

In some embodiments, scatterometry measurements as described herein are performed as part of a manufacturing process tool. Examples of manufacturing process tools include, but are not limited to, photolithography exposure tools, film deposition tools, implantation tools, and etching tools. In this way, the results of the T-SAXS analysis are used to control the manufacturing process. In one example, T-SAXS measurement data collected from one or more targets is sent to a manufacturing process tool. The T-SAXS measurement data is analyzed as described herein and the results are used to adjust the operation of the manufacturing process tool.

Scatterometry measurements as described herein may be used to determine characteristics of a variety of semiconductor structures. Exemplary structures include, but are not limited to, finfets, low-dimensional structures (e.g., nanowires or graphene), sub-10 nm structures, photolithographic structures, through-substrate vias (TSVs), memory structures (e.g., DRAM 4F2, FLASH, MRAM, and high aspect ratio memory structures). Exemplary structural characteristics include, but are not limited to, geometric parameters (e.g., line edge roughness, line width roughness, hole size, hole density, sidewall angle, profile, critical dimension, spacing, thickness, overlay) and material parameters (e.g., electron density, composition, grain structure, morphology, stress, strain, and elemental identification). In some embodiments, the metrology target is a periodic structure. In some other embodiments, the metrology targets are aperiodic.

In some examples, measurements of critical dimensions, thicknesses, overlay, and material properties of high aspect ratio semiconductor structures, including, but not limited to, spin transfer torque random access memory (STT-RAM), three-dimensional NAND memory (3D-NAND), or vertical NAND memory (V-NAND), Dynamic Random Access Memory (DRAM), three-dimensional FLASH memory (3D-FLASH), resistive random access memory (Re-RAM), and phase change random access memory (PC-RAM), are performed using a T-SAXS measurement system as described herein.

As described herein, the term "critical dimension" includes any critical dimension of a structure (e.g., bottom critical dimension, middle critical dimension, top critical dimension, sidewall angle, grating height, etc.), a critical dimension between any two or more structures (e.g., distance between two structures), and a displacement between two or more structures (e.g., superimposed displacement between superimposed grating structures, etc.). The structure may comprise a three-dimensional structure, a patterned structure, an overlying structure, and the like.

As described herein, the term "critical dimension application" or "critical dimension measurement application" includes any critical dimension measurement.

As described herein, the term "metrology system" includes any system employed, at least in part, to characterize a sample in any aspect, including critical dimension applications and overlay metrology applications. However, such technical terms do not limit the scope of the term "metering system" as described herein. Additionally, the metrology systems described herein may be configured to measure patterned wafers and/or unpatterned wafers. The metrology system may be configured as an LED inspection tool, edge inspection tool, backside inspection tool, macro inspection tool, or multi-mode inspection tool (involving data from one or more platforms simultaneously), and any other metrology or inspection tool that benefits from the measurement techniques described herein.

Various embodiments are described herein with respect to a semiconductor processing system (e.g., an inspection system or a lithography system) that can be used to process a sample. The term "specimen" is used herein to refer to a wafer, reticle, or any other specimen that can be processed (e.g., printed or inspected for defects) by means known in the art.

As used herein, the term "wafer" generally refers to a substrate formed of a semiconductor or non-semiconductor material. Examples include, but are not limited to, monocrystalline silicon, gallium arsenide, and indium phosphide. Such substrates are typically found and/or processed in a semiconductor fabrication facility. In some cases, the wafer may include only the substrate (i.e., a bare wafer). Alternatively, the wafer may include one or more layers of different materials formed on the substrate. One or more layers formed on the wafer may be "patterned" or "unpatterned". For example, a wafer may include a plurality of dies having repeatable pattern features.

The "reticle" may be a reticle at any stage of the reticle device process or a finished reticle that may or may not be released for use in a semiconductor fabrication facility. A reticle or "mask" is generally defined as having a substantially planar surface formed thereon and arranged in a patternThe opaque region is substantially transmissive to the substrate. The substrate may comprise, for example, a glass material, such as amorphous SiO2. A reticle may be disposed over a wafer covered with resist during an exposure step of a photolithography process so that a pattern on the reticle may be transferred to the resist.

One or more layers formed on the wafer may be patterned or unpatterned. For example, a wafer may include a plurality of dies each having a repeatable pattern of features. The formation and processing of such material layers may ultimately result in a completed device. Many different types of devices may be formed on a wafer, and the term wafer as used herein is intended to encompass wafers on which any type of device known in the art is fabricated.

In one or more exemplary embodiments, the functions described may be implemented in hardware, software, firmware, or any combination thereof. If implemented in software, the functions may be stored on or transmitted over as one or more instructions or code on a computer-readable medium. Computer-readable media includes both computer storage media and communication media, including any medium that facilitates transfer of a computer program from one location to another. A storage media may be any available media that can be accessed by a general purpose or special purpose computer. By way of example, and not limitation, such computer-readable media can comprise RAM, ROM, EEPROM, CD-ROM or other optical disk storage, magnetic disk storage or other magnetic storage devices, or any other medium that can be used to carry or store desired program code means in the form of instructions or data structures and that can be accessed by a general-purpose or special-purpose computer, or a general-purpose or special-purpose processor. Also, any connection is properly termed a computer-readable medium. For example, if the software is transmitted from a website, server, or other remote source using a coaxial cable, fiber optic cable, twisted pair, Digital Subscriber Line (DSL), or wireless technologies such as infrared, radio, and microwave, then the coaxial cable, fiber optic cable, twisted pair, DSL, or wireless technologies such as infrared, radio, and microwave are included in the definition of medium. Disk and disc, as used herein, includes Compact Disc (CD), laser disc, XRF disc, Digital Versatile Disc (DVD), floppy disk and blu-ray disc where disks usually reproduce data magnetically, while discs reproduce data optically with lasers. Combinations of the above should also be included within the scope of computer-readable media.

Although certain specific embodiments are described above for instructional purposes, the teachings of this patent document have general applicability and are not limited to the specific embodiments described above. Accordingly, various modifications, adaptations, and combinations of the various features of the described embodiments can be practiced without departing from the scope of the invention as set forth in the claims.

54页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:激光热成像法

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类