Dynamic scan chain reconfiguration in integrated circuits

文档序号:1661636 发布日期:2019-12-27 浏览:13次 中文

阅读说明:本技术 集成电路中的动态扫描链重新配置 (Dynamic scan chain reconfiguration in integrated circuits ) 是由 P·T·肖杜里 于 2018-05-07 设计创作,主要内容包括:一种用于具有多个扫描链(108)的集成电路(IC)(100)的示例测试电路(103)包括:第一电路(102)和第二电路(104);以及扫描链路由器(106),耦合在第一电路与多个扫描链之间,并且耦合在第二电路与多个扫描链之间,扫描链路由器响应于使能信号:(1)将第一电路耦合到多个扫描链中的每个扫描链;或者(2)将第二电路耦合到一个或多个级联扫描链(109),其中每个级联扫描链包括多个扫描链中的两个或更多个扫描链的级联。(An example test circuit (103) for an Integrated Circuit (IC) (100) having a plurality of scan chains (108) includes: a first circuit (102) and a second circuit (104); and a scan chain router (106) coupled between the first circuit and the plurality of scan chains and coupled between the second circuit and the plurality of scan chains, the scan chain router responsive to an enable signal to: (1) coupling a first circuit to each scan chain of a plurality of scan chains; or (2) coupling the second circuit to one or more cascaded scan chains (109), wherein each cascaded scan chain comprises a cascade of two or more scan chains of the plurality of scan chains.)

1. A test circuit for an Integrated Circuit (IC) having a plurality of scan chains, the test circuit comprising:

a first circuit and a second circuit; and

a scan chain router coupled between the first circuit and the plurality of scan chains and coupled between the second circuit and the plurality of scan chains, the scan chain router responsive to an enable signal to: (1) coupling the first circuit to each scan chain of the plurality of scan chains; or (2) couple the second circuit to one or more cascaded scan chains, wherein each cascaded scan chain comprises a cascade of two or more scan chains of the plurality of scan chains.

2. The test circuit of claim 1, wherein the first circuit comprises logic built-in self-test (LBIST) circuitry, and wherein the second circuit comprises scan compressor/decompressor circuitry.

3. The test circuit of claim 2, wherein the scan compressor/decompressor circuitry provides an interface between Automatic Test Equipment (ATE) and the scan chain router.

4. The test circuit of claim 1 or claim 2, wherein each scan chain of the plurality of scan chains comprises: a plurality of sequentially coupled flip-flops disposed in core logic of the IC.

5. The test circuit of any of claims 1 to 4, wherein the scan chain router comprises:

a bypass router circuit coupled between the first circuit and the plurality of scan chains; and

a chain cascade circuit coupled between the second circuit and the plurality of scan chains.

6. The test circuit of claim 5, wherein the first circuit comprises an output having a plurality of output signals and an input having a plurality of input signals, and wherein the bypass router circuit is configured to: coupling each of the plurality of output signals to a respective one of the plurality of scan chains and receiving each of the plurality of input signals from a respective one of the plurality of scan chains.

7. The test circuit of claim 5, wherein the second circuit comprises an output having one or more output signals and an input having one or more input signals, and wherein the chain cascade circuit is configured to: coupling each of the one or more output signals to a respective one of the one or more cascaded scan chains and receiving each of the one or more input signals from the respective one or more cascaded scan chains.

8. The test circuit of any of claims 1 to 7, wherein the second circuit comprises:

a decompressor comprising said output with said one or more output signals; and

a compressor comprising the input having the one or more input signals.

9. A method of testing an Integrated Circuit (IC) having a plurality of scan chains, the method comprising:

cascading the plurality of scan chains into one or more cascaded scan chains, each cascaded scan chain comprising a cascade of two or more scan chains of the plurality of scan chains;

receiving one or more test signals from Automatic Test Equipment (ATE);

decompressing the one or more test signals;

coupling each of the one or more test signals to a respective one of the cascaded scan chains; and

coupling an output of each of the one or more cascaded scan chains to the ATE.

10. The method of claim 9, further comprising:

an enable signal coupled to the scan chain router is controlled to perform the cascading steps.

11. The method of claim 10, wherein the controlling step comprises:

enabling a chain cascade circuit to perform the cascade step; and

disabling the bypass router circuit;

12. the method of claim 11, wherein the bypass router circuit is coupled between a logic built-in self test (LBIST) circuit and the plurality of scan chains.

Technical Field

Examples of the present disclosure relate generally to electronic circuits, and in particular to dynamic scan chain reconfiguration in Integrated Circuits (ICs).

Background

Integrated Circuits (ICs), such as Application Specific Integrated Circuits (ASICs), are designed using designs for testability (DFT) techniques. DFT techniques add testability features to a circuit design, such as a scan chain. The scan chain is formed of a plurality of flip-flops ("flip-flops") connected sequentially in the chain. The input of the first flip-flop is connected to the input pin ("scan-in") and the output of the last flip-flop is connected to the output pin ("scan-out"). Scan chains are inserted into the design to shift in test input data and shift out test result data.

An IC manufacturer performs scan testing for a variety of reasons, including testing for stuck-at faults, testing path delays (e.g., determining whether a path is running at a functional frequency), and so forth. Such scan testing is typically performed during manufacturing using Automated Test Equipment (ATE). The IC may utilize scan compression to reduce the amount of data required to test the IC, thereby freeing up ATE resources and reducing test costs.

The IC may also be designed to perform self-tests using a logic built-in self-test (LBIST) feature. LBIST can test circuitry in the field, as well as internal circuitry that does not have a direct connection to external pins. The LBIST may also provide test inputs to the scan chains and receive test outputs from the scan chains. After power up, the IC may perform LBIST.

Power-on LBIST typically exhibits run-time limitations such that the power-on time of the user equipment is in compliance with specifications. To meet runtime constraints, LBIST may use smaller scan chains (e.g., scan chains with fewer flip-flops). In contrast, manufacturing scan testing uses larger scan chains (e.g., scan chains with more flip-flops). For manufacturing scan testing, reducing scan chain length increases compression rate. Increasing the compression rate above a certain point can affect the test coverage. Thus, in an IC that includes both LBIST and manufacturing scan compression/decompression features, there is a conflict in scan chain length.

Disclosure of Invention

Techniques for providing dynamic scan chain reconfiguration in an Integrated Circuit (IC) are described. In one example, a test circuit for an Integrated Circuit (IC) having a plurality of scan chains includes: a first circuit and a second circuit; and a scan chain router coupled between the first circuit and the plurality of scan chains and coupled between the second circuit and the plurality of scan chains, the scan chain router responsive to an enable signal to: (1) coupling a first circuit to each scan chain of a plurality of scan chains; or (2) coupling the second circuit to one or more cascaded scan chains, wherein each cascaded scan chain comprises a cascade of two or more scan chains of the plurality of scan chains.

In another example, an Integrated Circuit (IC) includes a plurality of scan chains and test circuitry coupled to the plurality of scan chains. The test circuitry includes: a first circuit and a second circuit; and a scan chain router coupled between the first circuit and the plurality of scan chains and coupled between the second circuit and the plurality of scan chains, the scan chain router responsive to an enable signal to: (1) coupling a first circuit to each scan chain of a plurality of scan chains; or (2) coupling the second circuit to one or more cascaded scan chains, wherein each cascaded scan chain comprises a cascade of two or more scan chains of the plurality of scan chains.

In another example, a method of testing an Integrated Circuit (IC) having a plurality of scan chains includes: receiving one or more test signals from Automatic Test Equipment (ATE); decompressing the one or more test signals; cascading the plurality of scan chains into one or more cascaded scan chains, each cascaded scan chain comprising a cascade of two or more scan chains of the plurality of scan chains; coupling each of the one or more test signals to a respective one of the cascaded scan chains; and coupling an output of each of the one or more cascaded scan chains to the ATE.

These and other aspects will be understood with reference to the following detailed description.

Drawings

So that the manner in which the above recited features can be understood in detail, a more particular description, briefly summarized above, may be had by reference to example implementations, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical example implementations and are therefore not to be considered limiting of its scope.

Fig. 1 is a block diagram depicting an Integrated Circuit (IC) according to one example.

FIG. 2 is a block diagram depicting the scan chain of the IC of FIG. 1 in greater detail, according to one example.

FIG. 3 is a block diagram depicting a scan chain router according to one example.

FIG. 4 illustrates a Field Programmable Gate Array (FPGA) in which the test circuitry described herein may be used.

Fig. 5 depicts a system for testing the IC of fig. 1 according to one example.

Fig. 6 is a flow chart depicting a method for testing the IC of fig. 1 according to one example.

To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements of one example may be beneficially incorporated in other examples.

Detailed Description

Various features are described below with reference to the drawings. It should be noted that the figures may or may not be drawn to scale and that elements of similar structure or function are represented by like reference numerals throughout the figures. It should be noted that the figures are only intended to facilitate the description of the features. They are not intended as an exhaustive description of the claimed invention or as a limitation on the scope of the claimed invention. Moreover, the illustrated examples need not have all of the aspects or advantages shown. Aspects or advantages described in connection with a particular example are not necessarily limited to that example, and may be practiced in any other example, even if not so shown or not explicitly described.

Techniques for providing dynamic scan chain reconfiguration in an Integrated Circuit (IC) are described. In one example, an IC includes test circuitry with scan chain routers. Scan chain routers may dynamically configure scan chains in an IC into chains of different lengths for different modes of scan-related testing. For example, a power-on logic built-in self-test (LBIST) mode may require scan chains with shorter lengths in order to run faster to achieve a faster start-up sequence. In contrast, manufacturing scan testing using Automated Test Equipment (ATE) may require scan chains having a longer length than scan chains used for LBIST patterns because manufacturing scan testing is limited in compression rate. Beyond a certain compression ratio, creating test patterns using Automatic Test Pattern Generation (ATPG) is more difficult. Furthermore, for manufacturing scan testing, shorter scan chains (such as those required for LBIST) may result in poor coverage or longer test times. The scan chain router described in the examples herein supports longer and shorter scan chains for various applications (e.g., both LBIST and manufacturing scan test applications). These and other aspects are described below with reference to the drawings.

Fig. 1 is a block diagram depicting an Integrated Circuit (IC)100 according to one example. IC 100 includes test circuitry 103 and core logic 110. The core logic 110 includes a plurality of scan chains 108. Each scan chain 108 includes a plurality of sequentially coupled flip-flops ("flip-flops"). Test circuitry 103 includes logic built-in self-test (LBIST) circuit 102, scan compressor/decompressor circuitry 104, and scan chain router circuitry ("scan chain router 106").

Scan chain router 106 is coupled between scan chain 108 and LBIST circuitry 102. Scan chain router 106 is also coupled between scan chains 108 and scan compressor/decompressor circuitry 104. LBIST circuitry 102 provides LBIST outputs. The scan compressor/decompressor circuitry 104 includes Automatic Test Equipment (ATE) input/output ("ATE input/output"). Scan chain router 106 includes an input that receives an LBIST enable signal ("LBIST enable").

In operation, scan chain router 106 couples scan chain 108 to scan chains of different lengths for different test patterns. In a first test mode ("LBIST mode"), scan chain router 106 couples scan chains 108 into scan chains having a smaller length. In a second test mode ("scan compressor/decompressor mode"), scan chain router 106 couples scan chains 108 into scan chains having a longer length with respect to the LBIST mode ("cascaded scan chains 109").

In LBIST mode, scan chain router 106 couples LBIST circuitry 102 to scan chain 108. In scan compressor/decompressor mode, scan chain router 106 couples scan compressor/decompressor circuitry 104 to scan chains 108. ATE equipment (not shown in fig. 1) may be coupled to the "ATE input/output" of scan compressor/decompressor 104 (e.g., during manufacturing). The LBIST output of LBIST circuitry 102 may be accessed directly or through another test circuitry, such as a Test Access Port (TAP) of Joint Test Action Group (JTAG) circuitry. In some cases, the "ATE input/output" of scan compressor/decompressor 104 is only accessible during the manufacture of IC 100, and is not accessible when IC 100 is packaged. The LBIST output of LBIST circuitry 102 may be accessible both during manufacturing and after IC 100 is packaged.

The LBIST enable signal controls the mode of scan chain router 106. When "LBIST enable" is asserted (asserted), scan chain router 106 is in LBIST mode. When "LBIST enable" is deasserted, scan chain router 106 is in scan compressor/decompressor mode. In one example, "LBIST enable" may be asserted generally and may be de-asserted during manufacturing to perform ATE testing. The "LBIST enable" may or may not be accessible after the IC 100 is packaged.

FIG. 2 is a block diagram depicting scan chain 108 in further detail, according to one example. In this example, the scan chains 108 include individual scan chains 1081......108MWherein M is an integer greater than 1. Each scan chain 108x(X∈[1...K]) Including a plurality of flip-flops 202. Each scan chain 108xFlip-flops 202 (except the last flip-flop) are coupled sequentially with outputs to inputs. The input of the first flip-flop 202 in the scan chain 108x and the scan chain 108xThe output of the last flip-flop 202 in is coupled to the scan chain router 106.

Scan chain router 106 includes a scan chain coupled to LBIAn M-bit input 206 of ST circuitry 102 and an M-bit output 208 coupled to LBIST circuitry 102. In LBIST mode, M-bit input 206 includes for M scan chains 1081……108MThe input test signal of each scan chain in the array. The M-bit output 208 includes data from M scan chains 1081……108MThe output test signal of each scan chain in the array. Scan chain router 106 passes the M test signals on input 206 to the M scan chains 108, respectively1……108MIs input. Scan chain router 106 delivers scan chains 108, respectively1……108MAs M output test signals on output 208.

Scan chain router 106 includes an N-bit input 210 coupled to decompressor 104D of scan decompressor/compressor circuitry 104. Scan chain router 106 includes an N-bit output 212 coupled to compressor 104C of scan decompressor/compressor circuitry 104. Typically, N is an integer less than M. In scan compressor/decompressor mode, the N-bit input 210 comprises an input test signal for each of the N cascaded scan chains 109. The N-bit output 212 includes an output test signal for each of the N cascaded scan chains 109. The scan chain router 106 is configured to cascade a plurality of scan chains 108 to form each cascaded scan chain 109 of the N cascaded scan chains 109. For example, consider N ═ M/2 (e.g., a ratio of M to N is 2), where M is an even integer greater than zero. In such an example, the scan chain router 106 cascades pairs of scan chains 108 to form scan chains 108 that are individual in lengthxTwice the cascaded scan chains 109. The ratio of M to N may be other integers greater than 2 (e.g., more than two scan chains 108 may be cascaded into a cascaded scan chain). Furthermore, each cascaded scan chain need not have the same length.

FIG. 3 is a block diagram depicting scan chain router 106 according to one example. Scan chain router 106 includes bypass router circuit 302 and chain cascade circuit 304. In this example, assume that M-4 and N-2. Bypass router circuit 302 is coupled to output 208 and input 206 of LBIST circuitry 102. In this example, the output of LBIST circuitry 102The output 208 includes four signals ("frmLBIST [3:0 ]]"). Similarly, input 206 of LBIST circuit 102 includes four signals ("tolIST [3:0 ]]"). The bypass router circuit 302 includes an output 306 and an input 308. Output 306 includes providing four signals ("toChain [3:0 ]]") four outputs 3060……3063(from left to right in fig. 3). Similarly, input 308 includes providing four signals ("frmCarin [3:0 ]]") four inputs 3080……3083(from left to right in fig. 3). The four signals of the output 306 are coupled to the four scan chains 108, respectively1……1084Is input. The four signals input 308 are respectively from the scan chains 1081……1084Is received at the output of (a).

A chain cascade circuit 304 is coupled to the output 210 of the decompressor 104D and the input 212 of the compressor 104C. In this example, output 210 includes two signals ("frmDecomp [1:0 ]]"). Similarly, input 212 includes two signals ("toComp [1:0 ]]"). In this example, chain cascade circuit 304 will signal frmDecomp [0 ]]Is coupled to the output 3060And the signal frmDecomp [1 ] is converted into]Is coupled to the output 3062. Chain cascade circuit 304 inputs 3080Is coupled to the output 3061. Chain cascade circuit 304 inputs 3082Is coupled to the output 3063. Chain cascade circuit 304 provides input 308 from1Signal of (2) toComp [0]And from input 3083Signal toComp [1 ]]。

In operation, when the LBIST enable signal is asserted, bypass router circuit 302 is enabled and link level connection circuit 304 is disabled. Bypass router circuit 302 passes signal frmLBIST [3:0 ]]Pass to scan chain 1081……1084Is input. Bypass router circuit 302 passes slave scan chain 1081……1084The output signal is taken as signal toLBIST [3:0 ]]. When the LBIST enable signal is deasserted, bypass router circuit 302 is disabled and link level connection circuit 304 is enabled. In this case, the chain cascade circuit 304 will scan the chain 1081And 1082Cascaded into a single cascade chain 109. Similarly, chain cascade circuit 304 couples scan chains 1083And 1084Cascaded into a single cascade chain 109.

The example of fig. 3 may be extended to support more than four scan chains 108. Furthermore, chain cascade circuit 304 may cascade more than two scan chains 108 to form each cascaded scan chain. Thus, each cascaded scan chain may be two, three, or more times longer than each individual scan chain 108.

The test circuitry 103 described above may be implemented within an integrated circuit such as a Field Programmable Gate Array (FPGA) or similar type of programmable circuit. Fig. 4 shows the architecture of FPGA 400, which FPGA 400 includes a number of different programmable blocks including multi-gigabit transceivers ("MGTs") 1, configurable logic blocks ("CLBs") 2, random access memory blocks ("BRAMs") 3, input/output blocks ("IOBs") 4, configuration and clock logic ("CONFIG/CLOCKS") 5, digital signal processing blocks ("DSPs") 6, dedicated input/output blocks ("I/O") 7 (e.g., configuration ports and clock ports), and other programmable logic 8, such as digital clock managers, analog-to-digital converters, system monitoring logic, and so forth. Some FPGAs also include dedicated processor blocks ("PROC") 10. FPGA 400 can include scan chains 108 disposed throughout the programmable logic. As described above, test circuitry 103 is coupled to scan chain 108.

In some FPGAs, each programmable block may include at least one programmable interconnect element ("INT") 11, the programmable interconnect element 11 having connections to input and output terminals 20 of programmable logic elements within the same block, as shown in the top example of fig. 4. Each programmable interconnect element 11 may also include connections to interconnect segments 22 of adjacent programmable interconnect element(s) in the same block or other block(s). Each programmable interconnect element 11 may also include connections to interconnect segments 24 of a common routing resource between logic blocks (not shown). The generic routing resources may include routing channels between a logical block (not shown) that includes a track of interconnected segments (e.g., interconnected segments 24) and a switch block (not shown) for connecting the interconnected segments. An interconnect segment of the generic routing resource (e.g., interconnect segment 24) may span one or more logical blocks. The programmable interconnect elements 11 together with the general routing resources implement a programmable interconnect structure ("programmable interconnect") for the FPGA shown.

In one example implementation, CLB 2 may include a configurable logic element ("CLE") 12, which may be programmed to implement user logic, plus a single programmable interconnect element ("INT") 11. BRAM 3 may include a BRAM logic element ("BRL") 13 and one or more programmable interconnect elements. Typically, the number of interconnect elements included in a block depends on the height of the block. In the illustrated example, a BRAM block has the same height as five CLBs, but other numbers (e.g., four) may also be used. In addition to an appropriate number of programmable interconnect elements, DSP block 6 may include DSP logic elements ("DSPL") 14. For example, the IOB 4 may include two instances of an input/output logic element ("IOL") 15 in addition to one instance of the programmable interconnect element 11. It will be clear to the skilled person that, for example, the actual I/O pads connected to the I/O logic element 15 are typically not limited to the area of the input/output logic element 15.

In the illustrated example, a horizontal region near the center of the die (as shown in fig. 4) is used for configuration, clock, and other control logic. Vertical columns 9 extending from this horizontal area or column are used to distribute the clock and configuration signals across the width of the FPGA.

Some FPGAs utilizing the architecture shown in fig. 4 include other logic blocks that disrupt the regular columnar structure making up a large part of the FPGA. The additional logic blocks may be programmable blocks and/or dedicated logic. For example, processor block 10 spans several columns of CLBs and BRAMs. Processor block 10 may have various components ranging from a single microprocessor to a complete programmable processing system of microprocessor(s), memory controllers, peripherals, and the like.

Note that fig. 4 is intended only to illustrate an exemplary FPGA architecture. For example, the number of logic blocks in a row, the relative width of the rows, the number and order of rows, the types of logic blocks included in the rows, the relative sizes of the logic blocks, and the interconnect/logic implementation at the top of FIG. 4 are purely exemplary. For example, in an actual FPGA, wherever a CLB appears, more than one adjacent CLB row is typically included to facilitate efficient implementation of user logic, but the number of adjacent CLB rows varies with the overall size of the FPGA.

Fig. 5 and 6 depict a system and method for testing IC 100 according to one example. As shown in fig. 5, IC 100 may be coupled to ATE 502. The ATE 502 is coupled to the input/output ATE of the scan compressor/decompressor circuitry 104.

Fig. 6 depicts a method 600 of testing IC 100 according to one example. Method 600 begins at step 602, where scan chain router 106 cascades scan chains 108 to form cascaded scan chain(s) 109 at step 602. In one example, scan chain router 106 performs cascading in response to an enable signal (e.g., a static enable signal, such as the LBIST enable signal discussed above). The static enable signal enables the chain cascade circuit 304 and disables the bypass router circuit 302.

At step 604, decompressor 104D receives test signal(s) from ATE 502. In step 606, decompressor 104D decompresses the test signal(s). At step 608, scan chain router 106 couples the test signal(s) to the input(s) of cascaded scan chain 109. At step 610, scan chain router 106 couples the output(s) of cascaded scan chains 109 to compressor 104C, which compresses the output(s). At step 612, the compressor 104C provides the output(s) to the ATE 502.

In one example, a test circuit for an Integrated Circuit (IC) having a plurality of scan chains may be provided. Such circuitry may include: a first circuit and a second circuit; and a scan chain router coupled between the first circuit and the plurality of scan chains and coupled between the second circuit and the plurality of scan chains, the scan chain router responsive to an enable signal to: (1) coupling a first circuit to each scan chain of a plurality of scan chains; or (2) coupling the second circuit to one or more cascaded scan chains, wherein each cascaded scan chain comprises a cascade of two or more scan chains of the plurality of scan chains.

In such a test circuit, the first circuit may include logic built-in self-test (LBIST) circuitry and the second circuit may include scan compressor/decompressor circuitry.

In such test circuitry, scan compressor/decompressor circuitry may provide an interface between Automatic Test Equipment (ATE) and scan chain routers.

In such a test circuit, each scan chain of the plurality of scan chains may include a plurality of sequentially coupled flip-flops disposed in core logic of the IC.

In such a test circuit, the scan chain router may include: a bypass router circuit coupled between the first circuit and the plurality of scan chains; and a chain cascade circuit coupled between the second circuit and the plurality of scan chains.

In such a test circuit, the first circuit may include an output having a plurality of output signals and an input having a plurality of input signals, and the bypass router circuit may be configured to: each of the plurality of output signals is coupled to a respective one of the plurality of scan chains and each of a plurality of input signals is received, each of the plurality of input signals being from a respective one of the plurality of scan chains.

In such a test circuit, the second circuit may include an output having one or more output signals and an input having one or more input signals, and the chain cascade circuit may be configured to: each of the one or more output signals is coupled to a respective one of the one or more cascaded scan chains and each of the one or more input signals is received from the respective one or more cascaded scan chains.

In such a test circuit, the second circuit may include: a decompressor comprising an output having one or more output signals; and a compressor including an input having one or more input signals.

In another example, an Integrated Circuit (IC) may be provided. Such an IC may include: a plurality of scan chains; and test circuitry coupled to the plurality of scan chains, the test circuitry comprising: a first circuit and a second circuit; and a scan chain router coupled between the first circuit and the plurality of scan chains and coupled between the second circuit and the plurality of scan chains, the scan chain router responsive to an enable signal to: (1) coupling a first circuit to each scan chain of a plurality of scan chains; or (2) coupling the second circuit to one or more cascaded scan chains, wherein each cascaded scan chain comprises a cascade of two or more scan chains of the plurality of scan chains.

In some such ICs, the first circuit may include logic built-in self-test (LBIST) circuitry and the second circuit may include scan compressor/decompressor circuitry.

In some such ICs, scan compressor/decompressor circuitry may provide an interface between Automatic Test Equipment (ATE) and scan chain routers.

In some such ICs, each scan chain of the plurality of scan chains may include a plurality of sequentially coupled flip-flops disposed in core logic of the IC.

In some such ICs, the scan chain router may include: a bypass router circuit coupled between the first circuit and the plurality of scan chains; and a chain cascade circuit coupled between the second circuit and the plurality of scan chains.

In some such ICs, the first circuit may include an output having a plurality of output signals and an input having a plurality of input signals, and wherein the bypass router circuit may be configured to: each of the plurality of output signals is coupled to a respective one of the plurality of scan chains and each of a plurality of input signals is received, each of the plurality of input signals being from a respective one of the plurality of scan chains.

In some such ICs, the second circuit may include an output having one or more output signals and an input having one or more input signals, and wherein the chain cascade circuit may be configured to: each of the one or more output signals is coupled to a respective one of the one or more cascaded scan chains and each of the one or more input signals is received from the respective one or more cascaded scan chains.

In some such ICs, the second circuit may include: a decompressor comprising an output having one or more output signals; and a compressor including an input having one or more input signals.

In another example, a method of testing an Integrated Circuit (IC) having a plurality of scan chains may be provided. Such a method may include: cascading the plurality of scan chains into one or more cascaded scan chains, each cascaded scan chain comprising a cascade of two or more scan chains of the plurality of scan chains; receiving one or more test signals from Automatic Test Equipment (ATE); decompressing the one or more test signals; coupling each of the one or more test signals to a respective one of the cascaded scan chains; and coupling an output of each of the one or more cascaded scan chains to the ATE.

Such a method may further comprise: an enable signal coupled to the scan chain router is controlled to perform the cascading steps.

In some such methods, the controlling step may include: enabling the chain cascade circuit to perform the cascade step; and disabling the bypass router circuit;

in some such approaches, the bypass router circuit may be coupled between a logic built-in self-test (LBIST) circuit and a plurality of scan chains.

While the foregoing is directed to particular examples, other examples may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

15页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:用于电蓄能单元的状态识别的分流电阻

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!

技术分类