plasma enhanced deposition method for controlled formation of oxygen-containing films

文档序号:1785776 发布日期:2019-12-06 浏览:22次 中文

阅读说明:本技术 用于受控形成含氧薄膜的等离子体增强沉积方法 (plasma enhanced deposition method for controlled formation of oxygen-containing films ) 是由 L·贾 V·J·鲍尔 M·图米恩 S·J·吉姆 O·马迪亚 于 2018-05-03 设计创作,主要内容包括:提供了用于在反应空间中的衬底上控制含氧薄膜诸如碳氧化硅(SiOC)和碳氮氧化硅(SiOCN)薄膜的形成的方法。所述方法可以包括至少一个等离子体增强原子层沉积(PEALD)循环,所述循环包括使所述衬底与包含氧的硅前体和不含氧的第二反应物交替且依次地接触。在一些实施方案中,可以从一定范围中选择所述等离子体功率以实现沉积在三维特征上的膜的期望的阶梯覆盖率或湿法蚀刻速率比(WERR)。(Methods are provided for controlling the formation of oxygen-containing films, such as silicon oxycarbide (SiOC) and silicon oxycarbonitride (SiOCN) films, on a substrate in a reaction space. The method can include at least one Plasma Enhanced Atomic Layer Deposition (PEALD) cycle that includes alternately and sequentially contacting the substrate with a silicon precursor that includes oxygen and a second reactant that does not include oxygen. In some embodiments, the plasma power may be selected from a range to achieve a desired step coverage or Wet Etch Rate Ratio (WERR) of a film deposited on the three-dimensional feature.)

1. a method for controlling step coverage of a silicon oxycarbide (SiOC) film on a three-dimensional feature of a substrate, the method comprising depositing the SiOC film on the three-dimensional feature of the substrate by a Plasma Enhanced Atomic Layer Deposition (PEALD) process, the PEALD process comprising at least one deposition cycle comprising:

Contacting the substrate with a vapor phase silicon precursor comprising oxygen;

Contacting the substrate with a second reactant comprising reactive species from a plasma generated in an oxygen-free gas at a plasma power of 100W to 650W, and

Wherein the SiOC film has a step coverage of 20% or more on the three-dimensional feature.

2. The method of claim 1, further comprising removing excess vapor phase silicon precursor after contacting the substrate with the vapor phase silicon precursor and before contacting the substrate with the second reactant.

3. The method of claim 1, wherein the deposition cycle is repeated to form a SiOC film of a desired thickness.

4. The method of claim 1, wherein the three-dimensional feature has an aspect ratio of about 1 to about 10.

5. The method of claim 1, wherein the vapor phase silicon precursor comprises oxygen while other reactants used in the deposition cycle are oxygen-free.

6. The method of claim 1, wherein the silicon precursor comprises at least one alkoxy group.

7. The method of claim 6, wherein the silicon precursor comprises 3-methoxypropyltrimethoxysilane (MPTMS).

8. The method of claim 1, wherein the second reactant comprises a hydrogen atom, a hydrogen radical, or a hydrogen ion.

9. The method of claim 1, wherein the step coverage is from about 20% to about 1000%.

10. The method of claim 1, wherein a ratio of a wet etch rate of the SiOC film formed on vertical surfaces of the three-dimensional feature to a wet etch rate of the SiOC film formed on horizontal surfaces of the three-dimensional feature is about 0.2 to about 15.

11. the method of claim 1, further comprising exposing the deposited SiOC film to at least one reactive species generated by a plasma formed in a gas comprising H2, N2, O2, N2O, NO2, NH3, CO2, or H2O.

12. The method of claim 11, wherein exposing the deposited SiOC film to the at least one reactive species reduces a Wet Etch Rate (WER) of the SiOC film on vertical surfaces of the three-dimensional feature.

13. the method of claim 11, wherein exposing the deposited SiOC film to the at least one reactive species reduces a thickness of the SiOC film on horizontal surfaces of the three-dimensional feature and increases a thickness of the SiOC film deposited on vertical surfaces of the three-dimensional feature.

14. The method of claim 1, further comprising etching the deposited SiOC, wherein etching the deposited SiOC removes substantially all of the deposited SiOC from vertical surfaces of the three-dimensional feature without removing substantially all of the SiOC from horizontal surfaces of the three-dimensional feature.

15. A method for selectively forming SiOC on a first horizontal surface of a three-dimensional feature on a substrate relative to a second vertical surface of the three-dimensional feature, the method comprising:

depositing SiOC on horizontal and vertical surfaces of the three-dimensional feature by a plasma-enhanced atomic layer deposition (PEALD) process comprising alternately and sequentially contacting the substrate with a silicon precursor comprising oxygen and a second reactant comprising a plasma formed in a gas containing hydrogen but no oxygen, wherein the SiOC deposited on the horizontal surfaces has an etch rate that is lower than the etch rate of the SiOC deposited on the vertical surfaces; and

Etching the deposited SiOC, wherein etching the deposited SiOC removes substantially all of the deposited SiOC from the vertical surfaces without removing substantially all of the SiOC from the horizontal surfaces.

16. The method of claim 15, wherein the silicon precursor comprises a silicon alkoxide.

17. The method of claim 15, wherein the plasma is formed in a gas comprising H2 and Ar.

18. The method of claim 15, wherein etching the deposited SiOC comprises exposing the deposited SiOC to 0.5 wt.% dilute HF for a desired time.

19. The method of claim 15, wherein etching the deposited SiOC comprises exposing the deposited SiOC to radicals, ions, plasma, or a combination thereof.

20. a method for controlling step coverage of a silicon oxycarbide (SiOC) thin film formed on three-dimensional features of a substrate by a Plasma Enhanced Atomic Layer Deposition (PEALD) process, wherein the PEALD process comprises at least one deposition cycle comprising:

Contacting the substrate with a gas phase silicon alkoxide;

Contacting the substrate with a second reactant comprising a plasma generated at a plasma power of about 200W to about 650W in a gas comprising hydrogen and no oxygen,

Wherein the plasma power is selected to produce a SiOC film having a step coverage of 20% or greater on the three-dimensional feature, and

Wherein the three-dimensional feature has an aspect ratio of about 1 to about 3.

Description of the related Art

there is an increasing need for dielectric materials having relatively low dielectric constant (k) values and relatively low acid-based wet etch rates. Silicon oxycarbide or silicon oxycarbonitride (SiOCN) can meet some of these requirements. Generally, the deposition process of SiOC or SiOCN requires an oxygen plasma. In addition, the ability to deposit or form a film on one surface of a substrate, such as a semiconductor workpiece, relative to a different surface (such as a surface comprising a different material or different orientation) is desirable. For example, selective deposition may reduce the number of steps involved in semiconductor device fabrication.

disclosure of Invention

The present application relates to depositing an oxide on a substrate by Plasma Enhanced Atomic Layer Deposition (PEALD). In some embodiments, the PEALD process does not utilize an oxygen plasma or other reactive oxygen species.

In one aspect, a method for controlling step coverage of a silicon oxycarbide (SiOC) film on three-dimensional features of a substrate is provided. SiOC films may be deposited by a PEALD process comprising one or more deposition cycles in which a substrate is contacted with a gas phase silicon precursor comprising oxygen, followed by contact with a second plasma reactant. In some embodiments, the second plasma reactant comprises an oxygen-free plasma. The second plasma reactant may be generated in the reactant gas at a plasma power of 650W or less.

In some embodiments, the SiOC film has a step coverage on the three-dimensional feature of 20% or more. In some embodiments, the step coverage is from about 20% to about 1000%. In some embodiments, the three-dimensional features have an aspect ratio of about 1 to about 3.

In some embodiments, the silicon precursor comprises oxygen while the other reactants in the deposition cycle are free of oxygen. In some embodiments, the silicon precursor comprises at least one alkoxy group. For example, the silicon precursor may comprise 3-methoxypropyltrimethoxysilane (MPTMS).

in some embodiments, the second reactant comprises a hydrogen plasma, a hydrogen atom, a hydrogen radical, or a hydrogen ion.

In some embodiments, the ratio of the wet etch rate of the SiOC film formed on the vertical surfaces of the three-dimensional features to the wet etch rate of the SiOC film formed on the horizontal surfaces of the three-dimensional features is from about 0.2 to about 15.

In some embodiments, the method further comprises subsequently exposing the deposited SiOC film to at least one reactive species generated from a plasma formed in a gas comprising H2, N2, or O2. Such exposure may reduce the wet etching rate of SiOC on the vertical surfaces of the three-dimensional structure, or reduce the thickness of the SiOC film on the horizontal surfaces, while increasing the thickness of the SiOC film on the vertical surfaces.

In some embodiments, the method further comprises etching the deposited SiOC film. The etching may include removing substantially all of the SiOC from vertical surfaces, but not from horizontal surfaces of the three-dimensional feature.

In some embodiments, methods are provided for selectively depositing SiOC on a first horizontal surface of a three-dimensional structure on a substrate relative to a second vertical surface of the structure. The method may include a PEALD process using a silicon reactant including oxygen and an oxygen-free plasma as reactants. The SiOC deposited on the horizontal surfaces may have a lower etch rate than the SiOC deposited on the vertical surfaces, such that etching of the deposited SiOC removes a greater amount of the SiOC from the vertical surfaces than the horizontal surfaces. In some embodiments, all SiOC is removed from the vertical surfaces, but some SiOC remains on the horizontal surfaces. The etching may include exposing the deposited SiOC to 0.5% dilute HF. In other embodiments, etching may include exposing the deposited SiOC to a plasma reactant.

In some embodiments, a PEALD process includes alternately and sequentially contacting a substrate with a vapor phase silicon alkoxide precursor and at least one reactive species generated from a plasma formed in a gas comprising hydrogen.

Drawings

fig. 1A is a process flow diagram for controlled formation of silicon oxycarbide (SiOC) films by a Plasma Enhanced Atomic Layer Deposition (PEALD) process, according to some embodiments.

fig. 1B is a process flow diagram for controlled formation of an oxide thin film by a PEALD process, according to some embodiments.

Fig. 2 is a process flow diagram for controlled formation of SiOC films by PEALD processes, according to some embodiments.

Figure 3 is a process flow diagram for selectively forming a SiOC film on horizontal surfaces of three-dimensional features relative to vertical surfaces of the same three-dimensional features by a PEALD process.

Fig. 4 is a series of scanning electron micrographs showing an exemplary SiOC film deposited by the PEALD process as described herein and according to some embodiments, with plasma power varying from 200W to 650W.

Fig. 5 is a bar graph showing step coverage of an exemplary SiOC film deposited by PEALD process on three-dimensional structures having varying aspect ratios with plasma powers of 200W and 500W, as described herein and according to some embodiments.

Fig. 6A is a tunnel electron micrograph of an exemplary SiOC film deposited on a three-dimensional feature having an aspect ratio of about 3 by a PEALD process with 200W plasma power as described herein and according to some embodiments.

Fig. 6B is a tunnel electron micrograph of the example SiOC film of fig. 6A after being subjected to wet etching as described herein and in accordance with some embodiments.

Fig. 6C is a bar graph showing the per cycle Growth (GPC) and Wet Etch Rate Ratio (WERR) for different regions of an exemplary SiOC film deposited on three-dimensional features having an aspect ratio of about 3 by a PEALD process with 200W plasma power, as described herein and according to some embodiments.

Fig. 7A is a tunnel electron micrograph of an exemplary SiOC film deposited on a three-dimensional feature having an aspect ratio of about 1.4 by a PEALD process with 200W plasma power as described herein and according to some embodiments.

Fig. 7B is a tunnel electron micrograph of the example SiOC film of fig. 7A after being subjected to wet etching as described herein and in accordance with some embodiments.

Fig. 8A is a tunnel electron micrograph of an exemplary SiOC film deposited on three-dimensional features having an aspect ratio of about 1 (right) and about 3 (left) by a PEALD process with 650W plasma power as described herein and according to some embodiments.

Fig. 8B is a tunnel electron micrograph after the SiOC film of fig. 8A is subjected to wet etching as described herein and in accordance with some embodiments.

Fig. 8C is a bar graph showing the per cycle Growth (GPC) and Wet Etch Rate Ratio (WERR) for different regions of an exemplary SiOC film deposited on three-dimensional features having an aspect ratio of about 1 by a PEALD process with 650W plasma power, as described herein and according to some embodiments.

fig. 8D is a bar graph showing the per cycle Growth (GPC) and Wet Etch Rate Ratio (WERR) for different regions of an example SiOC film deposited on three-dimensional features having an aspect ratio of about 3 by a PEALD process with 650W plasma power, as described herein and according to some embodiments.

Fig. 9A is a scanning electron micrograph of an exemplary SiOC film deposited on three-dimensional features by a PEALD process as described herein and according to some embodiments.

Fig. 9B is a scanning electron micrograph of the example SiOC film of fig. 10A after being subjected to wet etching as described herein and in accordance with some embodiments.

Fig. 10 is a scanning electron micrograph of an exemplary SiOC film deposited by a PEALD process with 650W plasma power as described herein and according to some embodiments.

fig. 11A is a graph showing the refractive index (r.i.) of tio (cn) films deposited using titanium (IV) isopropoxide using different plasma reactants.

Fig. 11B is a graph showing the growth rate per cycle of tio (cn) films deposited using titanium (IV) isopropoxide using different plasma reactants.

Detailed Description

In some embodiments, the formation of oxygen-containing films, such as SiOC, SiOCN, TiO2, or Al2O3 films, may be controlled by a Plasma Enhanced Atomic Layer Deposition (PEALD) process that uses a first reactant that contains oxygen and a second reactant that contains an oxygen-free plasma. In some embodiments, the second reactant comprises species from a plasma generated in a non-oxygen containing gas.

it will be apparent to the skilled person that silicon oxycarbide (SiOC) films have a wide range of applications, for example in integrated circuit fabrication. More specifically, SiOC films exhibiting low etch rates have found wide application in and outside the semiconductor industry. SiOC films can be used, for example, as etch stop layers, sacrificial layers, low-k spacers, anti-reflection layers (ARLs), and passivation layers.

According to some embodiments of the present disclosure, various SiOC films, precursors, and methods for depositing the films are provided. In some embodiments, SiOC films have relatively low wet etch rates, for example, in acid-based etching solutions (e.g., dHF).

In some embodiments, the SiOC film is deposited on the substrate by a Plasma Enhanced Atomic Layer Deposition (PEALD) process comprising a silicon precursor containing at least one alkoxy group and an oxygen-free plasma. In some embodiments, the SiOC film is not deposited by a liquid phase process. In some embodiments, SiOC films are deposited on three-dimensional structures, such as fin-rays, during the formation of finFET devices.

In some embodiments, SiOC thin films are deposited on substrates containing three-dimensional structures or features, and one or more properties of the films, such as the thickness of the films deposited on vertical surfaces of the three-dimensional structures, the thickness of the films deposited on horizontal surfaces of the three-dimensional structures, the Wet Etch Rate (WER) of the films deposited on vertical surfaces of the three-dimensional structures, and/or the WER of the films deposited on horizontal surfaces of the three-dimensional structures, can be controlled by selecting an appropriate plasma power during a plasma enhanced ald (peald) process as described herein. In some embodiments, the plasma power is controlled to achieve differential effects on different surfaces, such as vertical and horizontal surfaces of a three-dimensional structure. In some embodiments, the aspect ratio of the three-dimensional features on which the SiOC film is deposited may affect the plasma power that may be selected to achieve a desired result, such as a desired thickness of a portion of the deposited SiOC film, a wet etch rate, and/or a ratio of thicknesses and/or etch rates of portions of the film deposited on different surfaces.

In some embodiments, for three-dimensional features having an aspect ratio of about 1 to about 10, the step coverage of the SiOC film deposited on the three-dimensional feature may be controlled by selecting an appropriate plasma power during the PEALD process. In some embodiments, the plasma power may be selected to achieve a desired step coverage of about 25% to about 1000% or more.

In some embodiments, the ratio of the etch rate (e.g., WER) of the SiOC film deposited on vertical surfaces (e.g., sidewalls of the three-dimensional features) to the etch rate of the SiOC film deposited on horizontal surfaces (e.g., top surfaces of the three-dimensional features) can be controlled by selecting an appropriate plasma power as described herein. In some embodiments, the etch rate is controlled by depositing films having different etch rates on different surfaces of the three-dimensional feature, for example by depositing films having different etch rates on vertical and horizontal surfaces of the three-dimensional feature. In some embodiments, the plasma power can be selected to achieve a desired WER ratio (WERR) of about 0.2 to about 15.

in some embodiments, the deposited SiOC film (e.g., an SiOC film deposited to achieve a desired step coverage or a desired WERR on a three-dimensional structure) may undergo post-deposition processing, such as plasma processing and/or etching.

in some embodiments, the post-deposition treatment comprises a plasma treatment in which the SiOC film is contacted with a plasma reactant for a desired period of time. In some embodiments, the SiOC film is exposed to one or more of hydrogen, oxygen, or nitrogen plasma.

In some embodiments, the post-deposition plasma treatment may differentially alter the thickness of oxide films (such as SiOC films) on the first surface and the second, different surface. For example, the post-deposition plasma treatment may reduce the thickness of the SiOC film on the vertical surfaces of the substrate and the horizontal surfaces of the substrate such that the thickness of the SiOC film deposited on the horizontal surfaces is reduced significantly more than the thickness of the SiOC film deposited on the first surface.

In some embodiments, post-deposition plasma treatment may reduce the thickness on one surface while increasing the thickness of the film on a different surface. For example, a post-deposition plasma treatment of an oxide film deposited on the trench may reduce the thickness of the oxide film on the top of the trench, while increasing the thickness of the film on the sidewalls and/or at the bottom of the trench.

In some embodiments, post-deposition plasma treatment can improve the conformality of the oxide film on the three-dimensional structure. In some embodiments, the post-deposition plasma treatment may increase the step coverage of the oxide film on the three-dimensional structure (e.g., trench). In some embodiments, the step coverage may be increased from less than 1 to greater than 1 and even up to 2. For example, the step coverage of an oxide film (such as a SiOC film on a trench) may be increased by exposure to a plasma reactant.

In some embodiments, the post-deposition treatment includes a plasma treatment that reduces the WER of an oxide film on a first surface of a feature on the substrate (such as a vertical or sidewall surface of a trench). In some embodiments, the WER of an oxide such as SiOC on the trench sidewalls may be reduced by 30%, 40%, 50%, 60%, 70%, 80%, 90%, 95%, or even 99% relative to the WER of sidewalls in the absence of post-deposition plasma treatment. The wet etch rate can be measured in dHF acid as is known in the art.

in some embodiments, the WER of the oxide film deposited on the first surface is reduced more than the WER of the second surface when both surfaces are contacted with plasma reactants in a post-deposition plasma treatment. For example, in some embodiments, the WER of the SiOC film on the first vertical surface and the second horizontal surface of the three-dimensional feature is reduced by the post-deposition plasma treatment, but the WER of the SiOC film on the first surface is reduced significantly more than the WER of the SiOC film on the second surface.

In some embodiments, the post-deposition plasma treatment may include exposing the deposited oxide film, e.g., a SiOC film on a three-dimensional structure, to a plasma generated in a gas comprising H2, O2, or N2, N2O, NO2, NH3, CO2, or CO. For example, the deposited oxide film may be exposed to a plasma generated in a combination of O2 and Ar or a combination of N2 and Ar. In some embodiments, the plasma may be generated using a plasma power of about 10W to about 5000W, about 100W to about 1000W, about 200W to about 800W, about 300W to 800W, or about 300W to about 500W. In some embodiments, the plasma power is about 300W. In some embodiments, the post-deposition plasma treatment may be performed for about 0.5 to 60 minutes, about 1 to 30 minutes, about 3 to 15 minutes, or about 5 to 10 minutes.

In some embodiments, the oxide film deposited on the three-dimensional structure is exposed to a hydrogen plasma, such as a plasma generated in a mixture of Ar and H2 gases. In some embodiments, the plasma is generated at a plasma power of about 10W to about 5000W, about 100W to 1000W, about 300W to 900W, about 300W to about 500W, or about 330W to 850W. In some embodiments, the oxide film is exposed for a period of time of about 1 to 1000s, 2 to 500s, 5 to 200s, or 10 to 100 s.

In some embodiments, a plasma may be provided cyclically during a post-deposition plasma treatment process, purging the reaction chamber between plasma pulses. In some embodiments, the deposited SiOC film is subjected to 1, 2, 5, 10, 20, 30, 40, 50, 100, 200, 500, or 1000 or more post plasma deposition treatment cycles.

In some embodiments, post-deposition treatment may be provided at intervals during the deposition process. For example, the plasma may be provided after a certain number of deposition cycles, such as every 5 deposition cycles, every 10 deposition cycles, every 25 deposition cycles, or every 50 deposition cycles.

In some embodiments where the post-deposition treatment includes an etch, such as a wet etch, the post-deposition treatment may remove substantially all of the deposited SiOC from the first surface (e.g., a vertical surface of the substrate) and may not remove substantially all of the deposited SiOC from the second surface (e.g., a horizontal surface of the substrate).

in some embodiments where the post-deposition treatment includes an etch, such as an etch containing reactive species, the post-deposition treatment may remove substantially all of the deposited SiOC from the first surface (e.g., a horizontal surface of the substrate) and may not remove substantially all of the deposited SiOC from the second surface (e.g., a vertical surface of the substrate).

For convenience and simplicity, the chemical formula of the silicon oxycarbide film is generally referred to herein as SiOC. As used herein, SiOC is not intended to limit, constrain, or define bonding or chemical states, such as the oxidation state of any of Si, O, C, and/or any other elements in the film. Furthermore, in some embodiments, the SiOC film may contain one or more elements, such as S and/or N, in addition to Si, O, and/or C. That is, in some embodiments, the SiOC film may comprise, for example, silicon oxycarbide (SiOCN) or silicon oxycarbonitride (SiOCS). In some embodiments, the SiOC film may include Si-C bonds and/or Si-O bonds. In some embodiments, the SiOC film may contain Si-C bonds and Si-O bonds and may be free of Si-N bonds. However, in some embodiments, the SiOC film may contain Si-C bonds, Si-O bonds, and/or Si-N bonds. In some embodiments, the SiOC film may contain Si-S bonds in addition to Si-C and/or Si-O bonds. In some embodiments, the SiOC film may contain more Si-O bonds than Si-C bonds, for example the ratio of Si-O bonds to Si-C bonds may be about 1:1 to about 10: 1. In some embodiments, the SiOC may comprise from about 0% to about 40% carbon by atom. In some embodiments, the SiOC may comprise, by atom, from about 0.1% to about 40%, from about 0.5% to about 30%, from about 1% to about 30%, or from about 5% to about 20% carbon. In some embodiments, the SiOC film may include about 0% to about 70% oxygen by atom. In some embodiments, the SiOC may comprise from about 10% to about 70%, from about 15% to about 50%, or from about 20% to about 40%, by atom, of oxygen. In some embodiments, the SiOC film may include about 0% to about 50% silicon by atom. In some embodiments, the SiOC may comprise from about 10% to about 50%, from about 15% to about 40%, or from about 20% to about 35%, by atom, of silicon. In some embodiments, the SiOC may comprise from about 0.1% to about 40%, from about 0.5% to about 30%, from about 1% to about 30%, or from about 5% to about 20% sulfur by atom. In some embodiments, the SiOC film may be nitrogen-free. In some other embodiments, the SiOC film may contain about 0% to about 10% nitrogen by atomic (at%).

ALD-type processes are based on controlled, often self-limiting, surface reactions. Gas phase reactions are generally avoided by contacting the substrate with the reactants alternately and sequentially. The gas phase reactants are separated from each other in the reaction chamber, for example by removing excess reactants and/or reactant by-products between reactant pulses. The reactants may be removed from the vicinity of the substrate surface by means of a purge gas and/or vacuum. In some embodiments, excess reactant and/or reactant by-product is removed from the reaction space by, for example, purging with an inert gas.

In some embodiments, a suitable substrate may comprise a wafer, such as a semiconductor wafer, for example a silicon wafer. In some embodiments, the substrate may comprise a wafer having a diameter equal to or greater than about 150mm, equal to or greater than 200mm, equal to or greater than 300mm, or equal to or greater than 450 mm.

in some embodiments, oxygen-containing films, such as oxide films, metal oxide films, and/or SiOC films, are deposited using a plasma enhanced ald (peald) process. In some embodiments, the PEALD process as described herein does not use an oxygen plasma. In some embodiments, the PEALD process as described herein uses an oxygen-free plasma. In some embodiments, the PEALD process as described herein does not include a reactant comprising an oxygen plasma. In some embodiments, the PEALD process as described herein may use a hydrogen plasma. In some embodiments, a PEALD process as described herein may include a reactant comprising a hydrogen plasma.

Briefly, a substrate or workpiece is placed in a reaction chamber and subjected to alternately repeated surface reactions. In some embodiments, SiOC films are formed by repeating self-limiting ALD cycles. In some embodiments, to form a SiOC film, each ALD cycle includes at least two distinct stages. The contacting and removal of the reactant or precursor with and from the substrate may be considered a stage. In a first stage, a gas phase first reactant or precursor comprising silicon contacts the substrate and forms no more than about one monolayer on the substrate surface. Such reactants are also referred to herein as "silicon precursors", "silicon-containing precursors" or "silicon reactants" and may be, for example, silicon alkoxide compounds such as bis (triethoxysilyl) ethane (BTESE) or 3-methoxypropyltrimethoxysilane (MPTMS). In some embodiments, excess first vapor phase reactant and any reaction by-products are removed from the vicinity of the substrate surface. The first gaseous phase reactant and any reaction by-products may be removed from the vicinity of the substrate surface by means of a purge gas and/or vacuum. In some embodiments, excess reactant and/or reactant by-product is removed from the reaction space by, for example, purging with an inert gas. In some embodiments, the substrate may be moved to facilitate removal of the reactant and/or reactant byproducts, for example, by moving the substrate to a different reaction chamber.

In a second stage, a second reactant comprising a reactive species contacts the substrate and can convert the adsorbed silicon species to SiOC. In some embodiments, the second reactant comprises a hydrogen precursor. In some embodiments, the reactive species comprises an excited species. In some embodiments, the second reactant comprises species from a plasma generated in a non-oxygen containing gas. In some embodiments, the second reactant comprises species from an oxygen-free plasma. In some embodiments, the second reactant comprises species from a hydrogen-containing plasma. In some embodiments, the second reactant comprises hydrogen radicals, hydrogen atoms, and/or hydrogen plasma. The second reactant may comprise other species than a hydrogen precursor. In some embodiments, the second reactant can comprise a species from an inert gas (e.g., one or more of He, Ne, Ar, Kr, or Xe), for example, in the form of a radical, a plasma, or an elemental form. These reactive species from the noble gas do not necessarily add material to the deposited film, but may in some cases contribute to film growth and to plasma formation and ignition. In some embodiments, the reactive species generated from the inert gas may affect the amount or extent of any damage to the underlying substrate. The skilled person will be able to select one or more inert gases suitable for a particular application. In some embodiments, the gas used to form the plasma may flow continuously throughout the deposition process, but only intermittently activated. In some embodiments, the gas used to form the plasma is free of oxygen. In some embodiments, the adsorbed silicon precursor is not in contact with reactive species generated by the plasma from oxygen. In some embodiments, the adsorbed substrate is not in contact with reactive oxygen species.

In some embodiments, the second reactant comprises a reactive species generated in an oxygen-free gas. For example, in some embodiments, the second reactant may comprise a plasma generated in a gas that does not contain oxygen. In some embodiments, the second reactant may be generated in a gas comprising less than about 50 atomic percent (at%) oxygen, less than about 30 at% oxygen, less than about 10 at% oxygen, less than about 5 at% oxygen, less than about 1 at% oxygen, less than about 0.1 at% oxygen, less than about 0.01 at% oxygen, or less than about 0.001 at% oxygen.

In some embodiments, the gas used to form the plasma is free of nitrogen. In some embodiments, the adsorbed silicon precursor is not in contact with reactive species generated by a plasma from nitrogen. In some embodiments, the second reactant comprising the reactive species is generated in a nitrogen-free gas. For example, in some embodiments, the second reactant may comprise a plasma generated in a nitrogen-free gas. However, in some embodiments, the gas used to form the plasma may comprise nitrogen. In some other embodiments, the second reactant may comprise nitrogen radicals, nitrogen atoms, and/or a nitrogen plasma. In some embodiments, the second reactant may be generated in a gas comprising less than about 25 atomic percent (at%) nitrogen, less than about 20 at% nitrogen, less than about 15 at% nitrogen, less than about 10 at% nitrogen, less than about 5 at% nitrogen, less than about 1 at% nitrogen, less than about 0.1 at% nitrogen, less than about 0.01 at% nitrogen, or less than about 0.001 at% nitrogen. In some embodiments, the second reactant may be generated in a gas comprising hydrogen and nitrogen, for example the second reactant may comprise H2 and N2. In some embodiments, the second reactant may be generated in a gas having a ratio of N2 to H2 (N2/H2) of less than about 20%, less than about 10%, or less than about 5%.

In some embodiments, the gas used to form the plasma is free of nitrogen or oxygen. In some embodiments, the adsorbed silicon precursor is not contacted with reactive species generated by a plasma from a gas comprising nitrogen or oxygen. In some embodiments, the second reactant comprising the reactive species is generated in a gas that does not contain nitrogen or oxygen. For example, in some embodiments, the second reactant may comprise a plasma generated in a gas that does not contain nitrogen or oxygen.

In some embodiments, excess second reactant and any reaction byproducts are removed from the vicinity of the substrate surface. The second reactant and any reaction by-products may be removed from the vicinity of the substrate surface by means of a purge gas and/or vacuum. In some embodiments, excess reactant and/or reactant by-product is removed from the reaction space by, for example, purging with an inert gas. In some embodiments, the substrate may be moved to facilitate removal of the reactant and/or reactant byproducts, for example, by moving the substrate to a different reaction chamber

Additional stages may be added, and certain stages may be deleted as needed to adjust the composition of the final film.

The one or more reactants may be provided by means of a carrier gas, such as Ar or He. In some embodiments, the silicon precursor and the second reactant are provided with a carrier gas.

In some embodiments, the two stages may overlap or be combined. For example, the silicon precursor and the second reactant may contact the substrate simultaneously in partially or fully overlapping stages. In addition, although referred to as first and second stages and first and second reactants, the order of the stages may vary and an ALD cycle may begin in any one stage. That is, unless otherwise specified, the reactants can contact the substrate in any order, and the method can begin with any of the reactants.

As discussed in more detail below, in some embodiments for depositing SiOC films, one or more deposition cycles are initiated by contacting the substrate with a silicon precursor, followed by a second precursor. In other embodiments, deposition may begin by contacting the substrate with a second precursor, followed by a silicon precursor.

In some embodiments, a substrate, such as a semiconductor workpiece, on which deposition is desired is loaded into a reaction space or reactor. The reactor may be part of a cluster tool in which a number of different processes are performed in the formation of integrated circuits. In some embodiments, a flow reactor is utilized. In some embodiments, a showerhead reactor is utilized. In some embodiments, spatially separated reactors are utilized. In some embodiments, a single wafer ALD reactor capable of high volume manufacturing is used. In other embodiments, a batch reactor comprising a plurality of substrates is used. For embodiments using a batch ALD reactor, the number of substrates ranges from 10 to 200, from 50 to 150, or from 100 to 130.

Examples of suitable reactors that can be used include commercially available equipment such as reactors, reactors; reactors, such as 2000 and 3000; reactors and 400 series reactors available from ASM America, Inc, Phoenix, Arizona and ASM Europe b.v., Almere, Netherlands. Other commercially available reactors include those available from ASM Japan K.K (Tokyo, Japan) under the tradenames XP and XP 8.

In some embodiments, the exposed surfaces of the workpiece may be pretreated, if desired, to provide reaction sites for reaction with the first stage of the ALD process. In some embodiments, a separate pretreatment step is not required. In some embodiments, the substrate is pretreated to provide the desired surface termination. In some embodiments, the substrate is pretreated with a plasma.

Excess reactants and reaction byproducts, if any, are removed from the vicinity of the substrate, and particularly from the substrate surface, between stages of reactant contact. In some embodiments, excess reactants and reaction byproducts (if any) are removed from the substrate surface, for example, by purging the reaction chamber, for example, between reactant contact stages, for example, by purging with an inert gas. The flow rate and contact time of each reactant is adjustable, as is the removal step, to allow control of the quality and various properties of the film.

as mentioned above, in some embodiments, the gases are provided to the reaction chamber continuously during each deposition cycle or throughout the ALD process, and the reactive species are provided by generating a plasma in the gases in the reaction chamber or upstream of the reaction chamber. In some embodiments, the gas is nitrogen-free. In some embodiments, the gas may comprise an inert gas, such as helium or argon. In some embodiments, the gas is helium. In some embodiments, the gas is argon. The flowing gas may also serve as a purge gas for the first reactant and/or the second reactant (or reactive species). For example, flowing argon may be used as a purge gas for the first silicon precursor and also as a second reactant (as a source of reactive species). In some embodiments, argon or helium may be used as a purge gas for the first precursor and as a source of the excited species that convert the silicon precursor to the SiOC film. In some embodiments, the gas in which the plasma is generated is free of nitrogen, and the adsorbed silicon precursor is not in contact with reactive species generated by the plasma from nitrogen. In some embodiments, the gas in which the plasma is generated is free of oxygen, and the adsorbed silicon precursor is not in contact with reactive species generated by the plasma from oxygen. In some embodiments, the gas in which the plasma is generated does not contain oxygen or nitrogen, and the adsorbed silicon precursor does not come into contact with reactive species generated by the plasma from oxygen or nitrogen.

this cycle is repeated until a film having the desired thickness and composition is obtained. In some embodiments, deposition parameters (e.g., precursor flow rates, contact times, removal times, and/or the reactants themselves) may be varied during one or more deposition cycles during the ALD process in order to obtain films having desired characteristics.

In some embodiments, the substrate surface is contacted with a reactant. In some embodiments, a pulse of a reactant is provided to a reaction space containing a substrate. The term "pulsing" may be understood to include feeding the reactants into the reaction chamber for a predetermined amount of time. The term "pulse" does not limit the length or duration of the pulse, and the pulse may be of any length of time. In some embodiments, the substrate is moved to a reaction space containing a reactant. In some embodiments, the substrate is subsequently moved from a reaction space containing a first reactant to a second, different reaction space containing a second reactant.

In some embodiments, the substrate is first contacted with the silicon reactant. After termination of the initial surface, the substrate is contacted with a first silicon reactant, if needed or desired. In some embodiments, a first silicon reactant pulse is supplied to the workpiece. According to some embodiments, the first reactant pulse includes a flow of carrier gas and a volatile silicon species, such as a silicon alkoxide compound, e.g., BTESE or MPTMS, that reacts with the surface of the workpiece of interest. Thus, silicon reactants adsorb on these workpiece surfaces. The first reactant pulse saturates the workpiece surface itself with the silicon reactant species so that any excess constituents of the first reactant pulse do not further react with the molecular layer formed by the process.

The first silicon reactant pulse may be supplied in gaseous form. For the purposes of this specification, a silicon precursor gas is considered "volatile" in the following cases: the substance exhibits sufficient vapor pressure at process conditions to deliver the substance to the workpiece in a sufficient concentration to saturate the exposed surfaces.

In some embodiments, the silicon reactant is in contact with the surface for about 0.05 seconds to about 5.0 seconds, about 0.1 seconds to about 3 seconds, or about 0.2 seconds to about 1.0 seconds. The skilled person can easily determine the optimal contact time on a case by case basis.

After a period of time sufficient for the molecular layer to adsorb on the substrate surface, excess first silicon reactant and reaction byproducts, if any, are removed from the substrate surface. In some embodiments, removing excess reactants and reaction byproducts (if any) may include purging the reaction chamber. In some embodiments, the reaction chamber may be purged by: the flow of the first reactant is stopped while continuing to flow the carrier or purge gas for a sufficient time to diffuse or purge excess reactant and reactant byproducts, if any, in the reaction space. In some embodiments, the excess first precursor is purged with an inert gas (e.g., helium or argon) flowing throughout the ALD cycle. In some embodiments, a substrate can be moved from a reaction space comprising a first reactant to a second, different reaction space. In some embodiments, the first reactant is removed for about 0.1 seconds to about 10 seconds, about 0.3 seconds to about 5 seconds, or about 0.3 seconds to about 1 second. The contacting and removal of the silicon reactant can be considered the first stage or silicon stage of the ALD cycle.

In a second stage, a second reactant comprising a reactive species (e.g., a hydrogen plasma and/or an oxygen-free plasma) is provided to the workpiece. The hydrogen plasma may be formed by generating a plasma in hydrogen in or upstream of the reaction chamber, for example by flowing hydrogen (H2) through a remote plasma generator.

In some embodiments, the plasma is generated in a flowing H2 gas. In some embodiments, H2 is provided to the reaction chamber before the plasma is ignited or forms hydrogen atoms or radicals. In some embodiments, H2 is continuously supplied to the reaction chamber and a hydrogen-containing plasma, atoms, or radicals are generated or supplied as needed.

Typically, the second reactant, e.g., comprising a hydrogen plasma, is in contact with the substrate for about 0.1 seconds to about 10 seconds. In some embodiments, the second reactant (e.g., hydrogen-containing plasma) is in contact with the substrate for about 0.1 seconds to about 10 seconds, 0.5 seconds to about 5 seconds, or 0.5 seconds to about 2.0 seconds. However, depending on the reactor type, substrate type, and surface area thereof, the second reactant contact time may even be longer than about 10 seconds. In some embodiments, the contact time may be on the order of minutes. The skilled person can easily determine the optimal contact time on a case by case basis.

In some embodiments, the second reactant is provided in two or more distinct pulses without introducing another reactant between any two or more pulses. For example, in some embodiments, a plasma, such as a hydrogen-containing plasma, is provided in two or more sequential pulses without introducing a Si precursor between the sequential pulses. In some embodiments, two or more sequential plasma pulses are generated during providing the plasma by: providing a plasma discharge for a first period of time; extinguishing the plasma discharge for a second period of time, for example, about 0.1 seconds to about 10 seconds, about 0.5 seconds to about 5 seconds, or about 1.0 seconds to about 4.0 seconds; and reigniting the plasma discharge for a third period of time prior to introducing another precursor or prior to the removal step, e.g., prior to the Si precursor or the purge step. Additional plasma pulses may be introduced in the same manner. In some embodiments, the plasma is ignited for an equivalent period of time in each pulse.

in some embodiments, a plasma (e.g., a hydrogen-containing plasma) may be generated by applying RF power of, in some embodiments, about 5W to about 5000W, 10W to about 2000W, about 50W to about 1000W, or about 200W to about 800W. In some embodiments, the RF power density may be from about 0.001W/cm2 to about 10W/cm2, from about 0.01W/cm2 to about 5W/cm2, from about 0.02W/cm2 to about 2.0W/cm2, or from about 0.05W/cm2 to about 1.5W/cm 2. RF power may be applied to the second reactant flowing during the plasma contact time, continuously flowing through the reaction chamber, and/or flowing through the remote plasma generator. Thus, in some embodiments, the plasma is generated in situ, while in other embodiments, the plasma is generated remotely. In some embodiments, a showerhead reactor is utilized and a plasma is generated between the susceptor (upon which the substrate sits) and the showerhead plate. In some embodiments, the gap between the base and the showerhead plate is from about 0.05cm to about 50cm, from about 0.1cm to about 20cm, from about 0.5cm to about 5cm, or from about 0.8cm to about 3.0 cm. As described herein, in some embodiments, the plasma power may be selected, for example, from a given plasma power range in order to achieve a desired step coverage, etch rate, or WERR of the deposited film.

after a period of time sufficient to fully saturate the layer of previously adsorbed molecular silicon species and react with the plasma pulse, any excess reactants and reaction byproducts are removed from the substrate surface.

In some embodiments, removing excess reactants and reaction byproducts (if any) may include purging the reaction chamber. In some embodiments, the reaction chamber may be purged by: the flow of the second reactant is stopped while continuing to flow the carrier or purge gas for a sufficient time to diffuse or purge excess reactant and reactant byproducts, if any, in the reaction space. In some embodiments, the excess second precursor is purged with an inert gas (e.g., helium or argon) flowing throughout the ALD cycle. In some embodiments, the substrate may be moved from a reaction space containing the second reactant to a different reaction space. In some embodiments, the removal may be from about 0.1 seconds to about 10 seconds, from about 0.1 seconds to about 4 seconds, or from about 0.1 seconds to about 0.5 seconds. The reactive species contact and removal together represent the second reactive species stage in the SiOC atomic layer deposition cycle.

Together, the two stages represent an ALD cycle that is repeated to form a SiOC film having a desired thickness. While an ALD cycle is generally referred to herein as starting from a silicon stage, it is contemplated that in other embodiments, the cycle may start from a reactive species stage. Those skilled in the art will recognize that the first precursor stage typically reacts with material left over from the last stage in the previous cycle. Thus, while there may be no reactant adsorbed onto the substrate surface or present in the reaction space before the reactive species phase is the first phase in the first ALD cycle, in subsequent cycles the reactive species phase will effectively follow the silicon phase. In some embodiments, one or more different ALD cycles are provided during the deposition process.

According to some embodiments of the present disclosure, the PEALD reaction may be conducted at a temperature in the range of about 25 ℃ to about 700 ℃, about 50 ℃ to about 600 ℃, about 100 ℃ to about 450 ℃, or about 200 ℃ to about 400 ℃. In some embodiments, the optimal reactor temperature may be limited by a maximum allowable thermal budget. Thus, in some embodiments, the reaction temperature is from about 100 ℃ to about 300 ℃. In some applications, the maximum temperature is about 200 ℃, so the PEALD process is carried out at this reaction temperature.

The substrate on which the thin film is deposited may comprise various types of materials. In some embodiments, the substrate may comprise an integrated circuit workpiece. In some embodiments, the substrate may comprise silicon. In some embodiments, the substrate may comprise silicon oxide, such as thermal oxide. In some embodiments, the substrate may comprise a high-k dielectric material. In some embodiments, the substrate may comprise carbon. For example, the substrate may comprise an amorphous carbon layer, graphene, and/or carbon nanotubes.

in some embodiments, the substrate may comprise a metal including, but not limited to, W, Cu, Ni, Co, and/or Al. In some embodiments, the substrate may comprise a metal nitride including, but not limited to, TiN and/or TaN. In some embodiments, the substrate may comprise a metal carbide, including but not limited to TiC and/or TaC. In some embodiments, the substrate may comprise a metal chalcogenide including, but not limited to MoS2, Sb2Te3, and/or GeTe. In some embodiments, the substrate may comprise a material that will be oxidized by exposure to an oxygen plasma process, but does not include a PEALD process as described herein.

In some embodiments, the substrate used in the PEALD process described herein may comprise an organic material. For example, the substrate may comprise organic materials such as plastics, polymers and/or photoresists. In some embodiments where the substrate comprises an organic material, the reaction temperature of the PEALD process may be less than about 200 ℃. In some embodiments, the reaction temperature may be less than about 150 ℃, less than about 100 ℃, less than about 75 ℃, or less than about 50 ℃.

In some embodiments where the substrate comprises an organic material, the maximum process temperature may be as low as 100 ℃. In some embodiments where the substrate comprises an organic material, the absence of oxygen-generated plasma may allow deposition of SiOC films on the organic material that may degrade during deposition processes that include oxygen-generated plasma.

According to some embodiments of the present disclosure, the pressure of the reaction chamber during processing is maintained at about 0.01 torr to about 50 torr or about 0.1 torr to about 10 torr. In some embodiments, the pressure of the reaction chamber is greater than about 6 torr or about 20 torr. In some embodiments, the SiOC deposition process may be performed at a pressure of about 20 torr to about 500 torr, about 20 torr to about 50 torr, or about 20 torr to about 30 torr.

In some embodiments, the SiOC deposition process may include a plurality of deposition cycles, wherein at least one deposition cycle is conducted under high pressure conditions. For example, a deposition cycle of a PEALD process may include alternately and sequentially contacting a substrate with a silicon precursor and a second reactant at an elevated pressure. In some embodiments, one or more deposition cycles of the PEALD process may be conducted at a process pressure of about 6 torr to about 500 torr, about 6 torr to about 50 torr, or about 6 torr to about 100 torr. In some embodiments, one or more deposition cycles may be conducted at a process pressure of greater than about 20 torr (including about 20 torr to about 500 torr, about 30 torr to about 500 torr, about 40 torr to about 500 torr, or about 50 torr to about 500 torr). In some embodiments, one or more deposition cycles may be performed at a process pressure of about 20 torr to about 30 torr, about 20 torr to about 100 torr, about 30 torr to about 100 torr, about 40 torr to about 100 torr, or about 50 torr to about 100 torr.

Controlled formation of SiOC films

As mentioned above, and discussed in more detail below, in some embodiments, the SiOC film may be deposited on the substrate in the reaction space by a plasma enhanced atomic deposition (PEALD) process. According to some embodiments, SiOC films are deposited using a PEALD process on substrates with three-dimensional features, such as in FinFET applications. In some embodiments in which SiOC films are deposited on three-dimensional features, the properties of the deposited film (e.g., step coverage and/or WERR) on different surfaces of the features may be controlled by selecting an appropriate plasma power from a given plasma power range. In some embodiments, the properties of the deposited film (e.g., thickness or WER) can be controlled to be different on different surfaces (e.g., vertical and horizontal surfaces of a feature). In some embodiments, the PEALD process as described herein may be used in a variety of applications. For example, a PEALD process as described herein may be used to form a hard mask layer, a sacrificial layer, a protective layer, or a low-k spacer. The PEALD process as described herein may be used, for example, in memory device applications.

In some embodiments, SiOC films may be deposited on substrates that cannot withstand oxygen plasma without damage, for example, on substrates comprising organic materials and/or photoresist materials, by PEALD methods that do not include oxygen plasma as described herein.

Referring to fig. 1A and in accordance with some embodiments, the formation of a SiOC film on a substrate containing three-dimensional features in a reaction space is controlled by a PEALD deposition process 100 comprising at least one cycle comprising:

In step 120, contacting the substrate with a vapor phase silicon-containing precursor comprising oxygen such that silicon species adsorb onto the surface of the substrate;

In step 130, excess silicon-containing precursor and reaction byproducts (if any) are removed from the substrate surface;

In step 140, a plasma power is selected from a certain plasma power range to achieve a desired step coverage and/or WERR of the SiOC film to be deposited;

In step 150, contacting the substrate with a plasma generated second reactant generated at a selected plasma power in an oxygen-free gas, the second reactant comprising a reactive species comprising hydrogen and being free of oxygen, thereby converting the adsorbed silicon species to SiOC;

in step 160, excess second reactant and reaction byproducts (if any) are removed from the substrate surface; and

In step 170, the contacting and removing steps are optionally repeated to form a SiOC film having a desired thickness, composition, step coverage, and/or WERR on the three-dimensional features of the substrate.

in some embodiments, step 140 may include selecting an appropriate plasma power from a certain plasma power range (e.g., a range of about 50W to about 1000W for three-dimensional features having an aspect ratio of about 1 to about 3) to achieve a desired step coverage and/or WERR. In some embodiments, the plasma power required to achieve the desired properties may be calculated prior to the deposition process. That is, in some embodiments, the range of plasma power corresponds to a known range of pre-established material properties of the film to be deposited. In some embodiments, the aspect ratio of the three-dimensional feature may affect the selected plasma power. For example, a higher plasma power may be selected to achieve a desired step coverage on three-dimensional features having a high aspect ratio rather than on three-dimensional features having a relatively low aspect ratio. In some embodiments, higher or greater plasma powers may be selected to achieve SiOC films with higher desired step coverage on a given three-dimensional structure. In some embodiments, a higher plasma power may be selected to achieve a SiOC film having a lower WERR than a substantially similar film deposited by a substantially similar deposition method using a lower plasma power. As used herein, Wet Etch Rate Ratio (WERR) refers to the ratio of the wet etch rate of a material deposited on vertical surfaces (e.g., sidewalls) to the wet etch rate of a material deposited on horizontal surfaces (e.g., top surfaces of three-dimensional features).

in some embodiments, using the same combination of precursors and/or reactants, selecting an appropriate plasma power may achieve a desired step coverage of less than, equal to, or about 100%. In some embodiments, the plasma power may be selected such that the deposition process is selective on a first surface (e.g., a vertical surface of a three-dimensional feature) relative to a second surface (e.g., a horizontal surface of a three-dimensional feature).

In some embodiments, step 150 may include remotely generating or forming a plasma or reactive species prior to contacting the substrate with the second reactant.

According to some embodiments, forming a SiOC film on a substrate comprising three-dimensional features in a reaction space is controlled by an ALD-type deposition process comprising a plurality of SiOC deposition cycles, each SiOC deposition cycle comprising:

contacting the substrate with a gaseous silicon reactant comprising oxygen such that the silicon compound adsorbs on the surface of the substrate;

Exposing the substrate to a purge gas and/or vacuum;

Selecting a plasma power from a certain plasma power range to achieve a desired step coverage and/or WERR of the SiOC film to be deposited;

Contacting the substrate with a reactive species generated by forming a plasma in a gas comprising hydrogen at a selected plasma power; and

Exposing the substrate to a purge gas and/or vacuum;

The contacting and exposing steps are optionally repeated until a SiOC film having a desired thickness, composition, step coverage, and/or WERR is obtained.

In some embodiments, exposing the substrate to a purge gas and/or vacuum step may include continuing the flow of the inert carrier gas while stopping the flow of the precursor or reactant. In some embodiments, exposing the substrate to a purge gas and/or vacuum step may include stopping the flow of the precursor and carrier gases into the reaction chamber and evacuating the reaction chamber, for example, with a vacuum pump. In some embodiments, the exposing the substrate to a purge gas and/or vacuum step may comprise moving the substrate from a first reaction chamber to a second, different reaction chamber containing a purge gas. In some embodiments, the exposing the substrate to a purge gas and/or a vacuum step may include moving the substrate from a first reaction chamber to a second, different reaction chamber under vacuum. In some embodiments, the reactive species may be nitrogen-free.

In some embodiments, for three-dimensional features having an aspect ratio of about 1 to about 3, selecting an appropriate plasma power from a certain plasma power range to achieve a desired step coverage and/or WERR may include selecting a plasma power, for example, from a range of about 50W to about 1000W. In some embodiments, the aspect ratio of the three-dimensional feature may affect the selected plasma power. For example, three-dimensional features with high aspect ratios may require a higher plasma power to be selected than three-dimensional features with relatively low aspect ratios to achieve the desired step coverage. In some embodiments, a higher or greater plasma power may be selected to achieve SiOC films with higher desired step coverage. In some embodiments, higher plasma power may be selected to achieve SiOC films with lower WERR. In some embodiments, the plasma power may be selected from the range of about 200W to about 650W or about 200W to about 500W. In some embodiments, the plasma power may be selected from a range of about 650W or less, 500W or less, or 200W or less.

In some embodiments, the formation of a SiOC film on a substrate containing three-dimensional features in a reaction space is controlled by a PEALD deposition process comprising at least one cycle comprising:

Contacting the substrate with a vapor phase silicon-containing precursor comprising MPTMS such that silicon species adsorb onto the surface of the substrate;

Removing excess silicon-containing precursor and reaction byproducts (if any) from the substrate surface;

Selecting a plasma power from a certain plasma power range to achieve a desired step coverage and/or WERR of the SiOC film to be deposited;

Contacting the substrate with a second reactant comprising a reactive species generated from a plasma from a gas comprising H2 and Ar, the plasma being generated by a plasma power selected to convert the adsorbed silicon species to SiOC;

removing excess second reactant and reaction byproducts (if any) from the substrate surface; and

The contacting and removing steps are optionally repeated to form a SiOC film having a desired thickness, composition, step coverage, and/or WERR on the three-dimensional features of the substrate.

in certain embodiments, SiOC films are formed on a substrate by an ALD-type process comprising a plurality of SiOC deposition cycles, each SiOC deposition cycle comprising: alternately and sequentially contacting the substrate with a first gaseous silicon precursor comprising oxygen and with a second reactant comprising a reactive species generated by forming a plasma in a gas at a selected plasma power. In some embodiments, the plasma power may be selected from a certain plasma power range in order to achieve a desired step coverage and/or WERR in the SiOC film to be deposited.

in some embodiments, the PEALD process is conducted at a temperature of about 100 ℃ to about 650 ℃, about 100 ℃ to about 550 ℃, about 100 ℃ to about 450 ℃, about 200 ℃ to about 600 ℃, or about 200 ℃ to about 400 ℃. In some embodiments, the temperature is about 300 ℃. In some embodiments, the temperature is about 200 ℃. In some embodiments, for example where the substrate comprises an organic material such as an organic photoresist, the PEALD process may be conducted at a temperature of less than about 100 ℃. In some embodiments, the PEALD process is conducted at a temperature of less than about 75 ℃ or less than about 50 ℃.

In some embodiments, the plasma may be generated by applying a selected plasma power, e.g., a selected RF power, to the gas. RF power may be applied to the gas, thereby generating reactive species. In some embodiments, RF power may be applied to the gas flowing continuously through the reaction chamber and/or through the remote plasma generator. Thus, in some embodiments, the plasma is generated in situ, while in other embodiments, the plasma is generated remotely. In some embodiments, the selected RF power applied is selected from the range of about 5W to about 5000W, about 10W to about 2000W, about 50W to about 1000W, or about 200W to about 800W.

referring to fig. 1B and in accordance with some embodiments, the formation of an oxygen-containing thin film on a substrate containing three-dimensional features in a reaction space is controlled by a PEALD deposition process 101 comprising at least one cycle comprising:

In step 121, contacting the substrate with a gas phase first precursor comprising oxygen such that precursor species adsorb onto the surface of the substrate;

In step 131, excess first precursor and reaction byproducts (if any) are removed from the substrate surface;

In step 141, a plasma power is selected from a certain plasma power range to achieve a desired step coverage and/or WERR of the film to be deposited;

In step 151, contacting the substrate with a plasma generated second reactant generated at a selected plasma power, the second reactant comprising a reactive species comprising hydrogen and no oxygen, thereby converting the adsorbed silicon species to an oxygen-containing film;

in step 161, excess second reactant and reaction byproducts (if any) are removed from the substrate surface; and

In step 171, the contacting and removing steps are optionally repeated to form an oxygen-containing film having a desired thickness, composition, step coverage, and/or WERR on the three-dimensional features of the substrate.

In some embodiments, step 141 may include selecting an appropriate plasma power from a certain plasma power range (e.g., a range of about 50W to about 1000W for three-dimensional features having an aspect ratio of about 1 to about 3) to achieve a desired step coverage and/or WERR. In some embodiments, the plasma power required to achieve the desired properties may be calculated prior to the deposition process. That is, in some embodiments, the range of plasma power corresponds to a known range of pre-established material properties of the film to be deposited. In some embodiments, the aspect ratio of the three-dimensional feature may affect the selected plasma power. For example, a higher plasma power may be selected to achieve a desired step coverage on three-dimensional features having a high aspect ratio rather than on three-dimensional features having a relatively low aspect ratio. In some embodiments, a higher or greater plasma power may be selected to achieve a film with a higher desired step coverage on a given three-dimensional structure. In some embodiments, a higher plasma power may be selected to achieve a film having a lower WERR than a substantially similar film deposited by a substantially similar deposition method using a lower plasma power. As used herein, Wet Etch Rate Ratio (WERR) refers to the ratio of the wet etch rate of a material deposited on vertical surfaces (e.g., sidewalls) to the wet etch rate of a material deposited on horizontal surfaces (e.g., top surfaces of three-dimensional features).

in some embodiments, using the same combination of precursors and/or reactants, selecting an appropriate plasma power may achieve a desired step coverage of less than, equal to, or about 100%. In some embodiments, the plasma power may be selected such that the deposition process is selective on a first surface (e.g., a vertical surface of a three-dimensional feature) relative to a second surface (e.g., a horizontal surface of a three-dimensional feature).

In some embodiments, step 151 may include remotely generating or forming a plasma or reactive species prior to contacting the substrate with the second reactant.

Referring to fig. 2 and in accordance with some embodiments, the formation of a SiOC film on a substrate containing three-dimensional features in a reaction space is controlled by a PEALD deposition process 200, comprising:

In step 220, contacting the substrate with a vapor phase silicon-containing precursor comprising oxygen such that silicon species adsorb onto the surface of the substrate;

In step 230, excess silicon-containing precursor and reaction byproducts (if any) are removed from the substrate surface;

in step 240, a plasma power is selected from a certain plasma power range to achieve a desired step coverage and/or WERR of the SiOC film to be deposited;

in step 250, contacting the substrate with a plasma generated second reactant generated by a plasma generated at a selected plasma power in a non-oxygen containing gas, the second reactant comprising a reactive species comprising hydrogen without oxygen, thereby converting the adsorbed silicon species to SiOC;

In step 260, excess second reactant and reaction byproducts (if any) are removed from the substrate surface;

In step 270, the contacting and removing steps are optionally repeated to form a SiOC film having a desired thickness, composition, step coverage, and/or WERR on the three-dimensional features of the substrate; and

In step 280, the deposited SiOC film is exposed to a third reactant comprising a reactive species generated by plasma from a gas, thereby reducing the thickness and/or WERR of the deposited SiOC film.

In some embodiments, step 240 may include selecting an appropriate plasma power from a certain plasma power range (e.g., a range of about 50W to about 1000W for three-dimensional features having an aspect ratio of about 1 to about 3) to achieve a desired step coverage and/or WERR. In some embodiments, the aspect ratio of the three-dimensional feature may affect the selected plasma power. For example, three-dimensional features with high aspect ratios may require a higher plasma power to be selected than three-dimensional features with relatively low aspect ratios to achieve the desired step coverage. In some embodiments, a higher or greater plasma power may be selected to achieve SiOC films with higher desired step coverage. In some embodiments, higher plasma power may be selected to achieve SiOC films with lower WERR.

In some embodiments, step 250 may include remotely generating or forming a plasma or reactive species prior to contacting the substrate with the second reactant.

In some embodiments, step 280 includes post-deposition processing and may include remotely generating or forming a plasma or reactive species prior to contacting the substrate with the third reactant. In some embodiments, the third reactant may comprise the same reactive species as the second reactant. In some embodiments, the gas used to generate the reactive species that make up the third reactant may comprise hydrogen, nitrogen, or oxygen. In some embodiments, the gas used to generate the reactive species that make up the third reactant may comprise one or more inert gases, such as argon. In some embodiments, the third reactant may be substantially the same as the second reactant, but the plasma power used to generate the third reactant may be different from the plasma power used to generate the second reactant. For example, in some embodiments, a higher plasma power may be used to generate the reactive species that constitute the third reactant than is used to generate the reactive species that constitute the second reactant. For example, in some embodiments, a plasma power of about 10W to about 1000W, about 400W to about 600W, about 400W to about 1000W, about 300W to about 500W, or about 600W to about 1000W may be used to generate the reactive species of the third reactant.

in some embodiments, exposing the deposited SiOC film to the third reactant may reduce the thickness and/or WERR of the deposited SiOC film. In some embodiments, step 280 may reduce the thickness and/or WER of the first portion of the SiOC film more than the second portion of the SiOC film. For example, in some embodiments, step 280 may reduce the thickness of the SiOC film on the first surface (e.g., a vertical surface of the substrate) and the second surface (e.g., a horizontal surface of the substrate) such that the thickness of the SiOC film deposited on the second surface is reduced significantly more than the thickness of the SiOC film deposited on the first surface. That is, in some embodiments, the post-deposition treatment of step 280 may increase the step coverage of the SiOC film. In some embodiments, the post-deposition treatment of step 280 may increase the step coverage of the SiOC film by about 10%, 25%, 50%, 75%, 100%, 200%, 500%, or 1000% or more.

In some embodiments, step 280 can reduce the WER of the SiOC film on the first surface (e.g., a vertical surface of the substrate) and the second surface (e.g., a horizontal surface of the substrate) such that the WER of the SiOC film on the first surface is reduced significantly more than the WER of the SiOC film on the second surface. That is, in some embodiments, a post-deposition treatment including step 280 may reduce the WERR of the SiOC film. For example, in some embodiments, the post-deposition treatment including step 280 may reduce the WERR of the SiOC film by about 5%, 10%, 25%, 50%, 75%, 90%, or even 100%.

as described above, in some embodiments, step 280 may be provided at intervals during the deposition process. That is, the entire deposition process 200 may be repeated multiple times. In some embodiments, the deposition cycle 220-260 may be repeated 270 two or more times, followed by providing the process step 280, and then the deposition cycle 220-260 may be repeated 270 one or more times again, followed by providing further process steps. This process can be repeated multiple times to produce the desired SiOC film. The cyclic process can be described as X X (Y X270+280), where X and Y are integers and can be the same or different.

Referring now to fig. 3 and in accordance with some embodiments, the formation of SiOC films on three-dimensional features is controlled by a method 300 comprising:

In step 320, providing a substrate comprising at least one three-dimensional feature having horizontal and vertical surfaces;

In step 330, SiOC is deposited on the at least one three-dimensional feature by a PEALD process that does not contain an excited oxygen species, as described herein; and

In step 340, the deposited SiOC is etched.

In some embodiments, depositing SiOC on the at least one three-dimensional feature in step 330 may comprise depositing SiOC by a deposition process provided herein, such as a controlled formation PEALD process described above with respect to fig. 1 and 2.

in some embodiments, etching the deposited SiOC in step 340 may include etching methods known in the art, for example, dry etching methods such as plasma etching methods, or wet etching methods such as 0.5 wt.% dilute HF etching methods. In some embodiments, etching the deposited SiOC in step 340 may remove at least a portion of the deposited SiOC.

in some embodiments, the etching method may include exposing the substrate to hydrogen atoms, hydrogen radicals, hydrogen plasma, or a combination thereof. For example, in some embodiments, the etching process may include exposing the substrate to a plasma generated from H2 using a power of about 10W to about 5000W, about 25W to about 2500W, about 50W to about 500W, or about 100W to about 400W. In some embodiments, the etching process may include exposing the substrate to a plasma generated using a power of about 1W to about 1000W, about 10W to about 500W, about 20W to about 250W, or about 25W to about 100W.

In some embodiments, the etching method may include exposing the substrate to a plasma. In some embodiments, the plasma may contain reactive species, such as oxygen atoms, oxygen radicals, oxygen plasma, or combinations thereof. In some embodiments, the plasma may contain reactive species, such as hydrogen atoms, hydrogen radicals, hydrogen plasma, or combinations thereof. In some embodiments, the plasma may contain an inert gas species, such as an Ar or He species, in addition to the reactive species. In some embodiments, the plasma may contain inert gas species without reactive species. In some cases, the plasma may contain other species, such as nitrogen atoms, nitrogen radicals, nitrogen plasma, or combinations thereof. In some embodiments, the substrate may be exposed to the etchant at a temperature between about 30 ℃ and about 500 ℃, or between about 100 ℃ and about 400 ℃. In some embodiments, the etchant may be supplied in one continuous pulse or may be supplied in multiple shorter pulses.

The skilled artisan can readily determine the optimal exposure time, temperature, and/or power for removing a desired amount of deposited SiOC from the three-dimensional feature.

In some embodiments, etching the deposited SiOC in step 340 may remove substantially all of the deposited SiOC from a first surface (e.g., a vertical surface of the three-dimensional feature) and may not remove substantially all of the deposited SiOC from a second, different surface (e.g., a horizontal surface of the three-dimensional feature). In this way, the selective formation of SiOC on the desired surface of the three-dimensional feature can be controlled. For example, in some embodiments, because the SiOC film is controlled to be formed by the deposition process of step 330, the amount of SiOC deposited on the vertical surfaces of the three-dimensional features may be significantly less than the amount of SiOC deposited on the horizontal surfaces. Thus, in some embodiments, the difference in deposited film thickness on the two surfaces may allow for differential etching such that substantially all of the film may be removed from one surface while some film or material remains on a second, different surface.

in some embodiments, the WER of SiOC deposited on the vertical surfaces of the three-dimensional features may be significantly higher than the WER of SiOC deposited on the horizontal surfaces due to the controlled formation of the SiOC film by the deposition process of step 330. Thus, the etching process may substantially completely remove the deposited SiOC from the vertical surfaces of the three-dimensional feature, while the deposited SiOC may remain on the horizontal surfaces of the three-dimensional feature.

as discussed in more detail below, in some embodiments for depositing SiOC films, one or more PEALD deposition cycles begin with providing a silicon precursor followed by a second reactant. In other embodiments, the deposition may begin with providing a second reactant, followed by a silicon precursor. Those skilled in the art will recognize that the first precursor stage typically reacts with material left over from the last stage in the previous cycle. Thus, while there may be no reactant adsorbed onto the substrate surface or present in the reaction space before the reactive species phase is the first phase in the first PEALD cycle, in subsequent PEALD cycles the reactive species phase will effectively follow the silicon phase. In some embodiments, one or more different PEALD sub-cycles are provided in a process for forming SiOC films.

Si precursor

A variety of different suitable Si precursors may be used in the disclosed PEALD process. In some embodiments, a suitable Si precursor may comprise a silane.

In some embodiments, a suitable Si precursor may comprise two Si atoms connected or bonded to at least one hydrocarbyl group through at least one hydrocarbyl group. In some embodiments, a suitable Si precursor may comprise two Si atoms connected or bonded to at least one alkyl group through at least one alkyl group. In some embodiments, a suitable Si precursor may comprise two Si atoms connected or bonded to at least one alkoxy group through at least one alkoxy group. In some embodiments, a suitable Si precursor may comprise two Si atoms connected or bonded to at least one silyl group through at least one silyl group. In some embodiments, a suitable Si precursor may comprise two Si atoms connected or bonded to at least one silyl ether group through at least one silyl ether group. In some embodiments, suitable Si precursors may comprise at least one-SH group, where-SH may be bonded to an alkyl chain or a silicon atom. In some embodiments, suitable Si precursors may comprise at least one mercapto group. In some embodiments, suitable Si precursors may comprise at least one-R-SH structure, wherein R may be a C1-C5 alkyl group. In some embodiments, a suitable Si precursor may comprise at least one-SH group on an alkyl chain and one or more alkoxy groups bonded to a silicon atom.

In some embodiments, a suitable Si precursor may comprise at least one Si atom attached or bonded to one or more alkoxy groups. In some embodiments, suitable Si precursors may comprise at least one Si atom attached or bonded to one or more alkyl groups. In some embodiments, suitable Si precursors may comprise at least one Si atom attached or bonded to at least an alkyl group and an alkoxy group.

In some embodiments, at least some Si precursors suitable for depositing SiOC by the PEALD process may comprise a bridged alkoxysilane having the general formula:

(1)(RO)Si-R-Si(OR)

Wherein each of RI and RII can be an independently selected alkyl group. In some embodiments, each of RI and RII is an independently selected C1-C5 alkyl ligand, such as methyl, ethyl, n-propyl, isopropyl, tert-butyl, or pentyl.

According to some embodiments, some Si precursors may comprise a bridging alkoxyalkyl silane having the general formula:

(2)R(OR)Si-R-Si(OR)R

Wherein each of RI, RII, and RIII can be an independently selected alkyl group, and x + y ═ 3. In some embodiments, each of RI and RII is an independently selected C1-C5 alkyl ligand, such as methyl, ethyl, n-propyl, isopropyl, tert-butyl, or pentyl. In some embodiments, RIII may be an independently selected C1-C8 alkyl ligand.

According to some embodiments, some Si precursors may comprise cyclic alkoxysilanes having the general formula:

(3)(RO)Si–R–Si(OR)

formula (3) may alternatively be represented by the following structural formula:

Wherein each of RI and RII can be an independently selected alkyl group. In some embodiments, each of RI and RII is an independently selected C1-C5 alkyl ligand, such as methyl, ethyl, n-propyl, isopropyl, tert-butyl, or pentyl.

According to some embodiments, some Si precursors may comprise cyclic alkoxyalkyl silanes having the general formula:

(4)R(OR)Si–R-Si(OR)R

Formula (4) may alternatively be represented by the following structural formula:

Wherein each of RI, RII, and RIII may be an independently selected alkyl group, and x + y ═ 2. In some embodiments, each of RI and RII is an independently selected C1-C5 alkyl ligand, such as methyl, ethyl, n-propyl, isopropyl, tert-butyl, or pentyl. In some embodiments, RIII may be an independently selected C1-C8 alkyl ligand.

According to some embodiments, some Si precursors may comprise a linear alkoxysilane having the general formula:

(5)(RO)Si–(O-Si-R)–O-Si(OR)

Wherein RI may be an independently selected alkyl group or hydrogen, RII may be an independently selected alkyl group, and n ═ 1-4. In some embodiments, each of RI and RII is an independently selected C1-C5 alkyl ligand, such as methyl, ethyl, n-propyl, isopropyl, tert-butyl, or pentyl. In some embodiments, RI may be hydrogen and RII may be an independently selected C1-C5 alkyl ligand.

According to some embodiments, some Si precursors may comprise a linear alkoxysilane having the general formula:

(6)R(OR)Si–(-R-Si)-Si(OR)R

Wherein each of RI, RII, and RIII may be an independently selected alkyl group, x + y ═ 2, and n may be greater than or equal to 1. In some embodiments, RI and RII are independently selected from C1-C5 alkyl ligands, such as methyl, ethyl, n-propyl, isopropyl, tert-butyl, or pentyl. In some embodiments, RIII may be an independently selected C1-C8 alkyl ligand.

According to some embodiments, some Si precursors may comprise alkoxysilanes having the general formula:

(7)Si(OR)

Wherein RI can be an independently selected alkyl group. In some embodiments, RI can be an independently selected C1-C5 alkyl ligand, such as methyl, ethyl, n-propyl, isopropyl, tert-butyl, or pentyl.

According to some embodiments, some Si precursors may comprise an alkoxyalkyl silane having the general formula:

(8)Si(OR)R

Wherein each of RI and RII can be an independently selected alkyl group, and x ═ 1-3. In some embodiments, RI can be an independently selected C1-C5 alkyl ligand, such as methyl, ethyl, n-propyl, isopropyl, tert-butyl, or pentyl. In some embodiments, the RII may be an independently selected C1-C8 alkyl ligand.

According to some embodiments, some Si precursors may include alkoxy silanes that are nitrogen-free and have the general formula:

(9)Si(OR)R

Where RI may be an independently selected alkyl group, RII may be any ligand comprising carbon, hydrogen, and/or oxygen, but no nitrogen, and x ═ 1-3. In some embodiments, RI can be an independently selected C1-C5 alkyl ligand, such as methyl, ethyl, n-propyl, isopropyl, tert-butyl, or pentyl. In some embodiments, the RII may comprise, for example, an alkenyl, alkynyl, phenyl, carbonyl, aldehyde, ester, ether, carboxyl, peroxy, hydroperoxy, thiol, acrylate, or methacrylate ligand.

According to some embodiments, some Si precursors may have the general formula:

(10)Si(OR)R

where x is 0-3, RI may be an independently selected C1-C7 or C1-C5 alkyl ligand, and RII may be an independently selected ligand consisting of carbon and/or hydrogen and/or oxygen. For example, in some embodiments, the RII can be an alkoxyalkyl group. In some embodiments, the RII can be, for example, alkenyl, alkynyl, phenyl, carbonyl, aldehyde, ester, ether, carboxyl, peroxy, or hydroperoxy. In some embodiments, for example, RI is methyl, RII is a 3-methoxypropyl ligand, and x is 1.

According to some embodiments, some Si precursors may have the general formula:

(11)(RO)Si-(R-O-R)

Where x is 0-3, each of RI and RII may be an independently selected C1-C7 or C1-C5 alkyl ligand, and RIII may be an independently selected ligand consisting of carbon and/or hydrogen and/or oxygen. For example, in some embodiments, the RIII may be, for example, alkenyl, alkynyl, phenyl, carbonyl, aldehyde, ester, ether, carboxyl, peroxy, or hydroperoxy. In some embodiments, for example, RI, RII, and RIII can each be a group independently selected from methyl, ethyl, isopropyl, n-propyl, n-butyl, isobutyl, and tert-butyl.

According to some embodiments, some Si precursors may have the general formula:

(12)Si(R)RR

Where x + y is 0-4, RI is an alkoxide ligand having 1 to 5 carbon atoms, or halide, RII is any ligand containing sulfur, and RIII consists of one of a mercapto, sulfide, disulfide, sulfinyl, sulfonyl, sulfinyl, sulfo, thiocyanate, isothiocyanate, or thiocarbonyl functional group. In some embodiments, RI, RII, and RIII may each be independently selected. In some embodiments, RI may comprise a methoxy ligand, RII may comprise 3-mercaptopropyl, x ═ 1, and y ═ 0. That is, in some embodiments, some Si precursors may comprise Si (OCH3)3C3H6 SH. In some embodiments, the Si precursor may comprise mercaptomethyldiethoxysilane, 3-mercaptopropylmethyldimethoxysilane, and/or 3-mercaptopropyltriethoxysilane

In some embodiments, the silicon precursor is halogen-free. In some embodiments, the silicon precursor is nitrogen-free. In some embodiments, the carbon chain may be unsaturated and contain double carbon-carbon bonds. In some other embodiments, the carbon chain may contain other atoms in addition to carbon and hydrogen. According to some embodiments, suitable silicon precursors may comprise at least a compound having any one of general formulae (1) to (11). Fig. 2 illustrates exemplary molecular structures of suitable Si precursors according to formulas (1) - (11) above. In some embodiments, the silicon precursor may comprise bis (triethoxysilyl) ethane (BTESE). In some embodiments, the silicon precursor may comprise 3-methoxypropyltrimethoxysilane (MPTMS or Si (OCH3)3C3H6OCH 3). In some embodiments, the silicon precursor may comprise (3-mercaptopropyl) trimethoxysilane.

In some embodiments, at least some Si precursors suitable for depositing SiOCN by the PEALD process have the general formula:

(13)Si(OR)(RNRR)

where x ═ 1-4, RI can be an independently selected alkyl group, RII can be an independently selected hydrocarbyl group, and RIII and RIV can be independently selected alkyl groups and/or hydrogen. In some embodiments, RI and RII are C1-C3 alkyl ligands, such as methyl, ethyl, n-propyl, or isopropyl. In some embodiments, RI may be a C1-C4 alkyl ligand, such as methyl, ethyl, n-propyl, isopropyl, or tert-butyl. In some embodiments, the RII is not a C3 hydrocarbon. In some embodiments, the RII is a C1-C2 hydrocarbon or a C4-C6 hydrocarbon. In some embodiments, the RII can be an unsaturated hydrocarbon, e.g., a hydrocarbon containing one or more double bonds. In some embodiments, the RII may be an alkyl group in which one hydrogen is removed. In some embodiments, RIII and RIV are hydrogen. In some embodiments, RI is methyl, RII is n-propyl, RIII is hydrogen, RIV is hydrogen, and x ═ 1.

For example, the Si precursor may have the following formula (written in more detail to show bonding): (RI-O-)4-xSi (-RII-NRIIIRIV) x, wherein x ═ 1-4, RI can be an independently selected alkyl group, RII can be an independently selected hydrocarbon, and RIII and RIV can be independently selected alkyl groups and/or hydrogen.

According to some embodiments, some Si precursors may have the general formula:

(14)Si(OR)(RNRR)H(OH)

where x is 1-4, y is 0-3, and z is 0-3, RI and RII can be independently selected alkyl groups, RII can be an independently selected hydrocarbon, and RIII and RIV can be independently selected alkyl groups and/or hydrogen. In some embodiments, the RII can be an unsaturated hydrocarbon, e.g., a hydrocarbon containing one or more double bonds. In some embodiments, the RII may be an alkyl group in which one hydrogen is removed.

according to some embodiments, some Si precursors may have the general formula:

(15)LSi(OR)(RNRR)

Where n ═ 1-3, x ═ 0-3, RI can be an independently selected alkyl group, RII can be an independently selected hydrocarbon, and RIII and RIV can be independently selected alkyl groups and/or hydrogen, and L is an independently selected alkyl group or halogen. In some embodiments, the RII can be an unsaturated hydrocarbon, e.g., a hydrocarbon containing one or more double bonds. In some embodiments, the RII may be an alkyl group in which one hydrogen is removed.

According to some embodiments, some Si precursors may have the general formula:

(16)LSi(OR)(RNRR)H(OH)

Where n-0-3, x-1-4, y-0-3, z-0-3, RI may be an independently selected alkyl group, RII may be an independently selected hydrocarbon, and RIII and RIV may be independently selected alkyl groups and/or hydrogen, and L is an independently selected alkyl group or halogen. In some embodiments, the RII can be an unsaturated hydrocarbon, e.g., a hydrocarbon containing one or more double bonds. In some embodiments, the RII may be an alkyl group in which one hydrogen is removed.

According to some embodiments, some Si precursors may have the general formula:

(17)(RO)Si(R-NH)

Where x ═ 1 to 4, RI can be an independently selected alkyl group, and RII can be an independently selected hydrocarbon. In some embodiments, RI and RII are C1-C3 alkyl ligands, such as methyl, ethyl, n-propyl, or isopropyl. In some embodiments, RI is methyl, RII is n-propyl, and x ═ 1. In some embodiments, the RII can be an unsaturated hydrocarbon, e.g., a hydrocarbon containing one or more double bonds. In some embodiments, the RII may be an alkyl group in which one hydrogen is removed.

According to some embodiments, some Si precursors may have the general formula:

(18)(RO)Si-R-NH

Wherein RI can be an independently selected alkyl group and RII can be an independently selected hydrocarbon. In some embodiments, RI and RII are C1-C3 alkyl ligands, such as methyl, ethyl, n-propyl, or isopropyl. In some embodiments, the RII can be an unsaturated hydrocarbon, e.g., a hydrocarbon containing one or more double bonds. In some embodiments, the RII may be an alkyl group in which one hydrogen is removed.

according to some embodiments, some Si precursors may have the general formula:

(19)(RO)Si(-[CH]-NH)

Wherein x is 1-4, n is 1-5, and RI may be an independently selected alkyl group. In some embodiments, RI is a C1-C4 alkyl ligand, such as methyl, ethyl, n-propyl, or isopropyl. In some embodiments, RI is methyl, and x ═ 1.

In some embodiments, the silicon precursor is halogen-free. In some embodiments, the silicon precursor may comprise at least one aminoalkyl ligand. According to some embodiments, suitable silicon precursors may include at least one ligand bonded to silicon through carbon and containing at least one NH2 group attached to a carbon chain, such as an aminoalkyl ligand. According to some embodiments, suitable silicon precursors may comprise at least one ligand bonded to silicon through a carbon and containing an NH2 group attached to a carbon chain, such as an aminoalkyl ligand, and may also comprise at least one ligand bonded to silicon through an oxygen atom and wherein the alkyl group is bonded to oxygen, such as an alkoxide ligand. According to some embodiments, suitable silicon precursors may comprise at least one ligand bonded to silicon through carbon and containing at least one NRIIIRIV group, where RIII and RIV may be independently selected alkyl and/or hydrogen attached to a carbon chain, such as an aminoalkyl ligand. According to some embodiments, a suitable silicon precursor may comprise at least one ligand bonded to silicon through carbon, and in which at least one nitrogen is bonded to carbon. Furthermore, one ligand bonded to silicon through carbon and in which at least one nitrogen is bonded to carbon may comprise a hydrogen bonded to nitrogen. According to some embodiments, suitable silicon precursors may comprise alkoxy ligands, such as methoxy, ethoxy, n-propoxy, isopropoxy, or tert-butoxy ligands, in addition to ligands bonded to silicon through carbon. According to some embodiments, including some of the formulae described above, suitable silicon precursors comprise a carbon chain bonded to silicon through carbon, and wherein there is an amino group, such as an alkylamino group or a-NH 2 group, attached to the carbon chain, and the carbon chain is a linear, branched, or cyclic C1-C6, C2-C6, or C2-C4 hydrocarbon containing only carbon and hydrogen. In some embodiments, the carbon chain may be unsaturated and contain double carbon-carbon bonds. In some other embodiments, the carbon chain may contain other atoms in addition to carbon and hydrogen.

According to some embodiments, suitable silicon precursors may comprise at least a compound having any one of general formulae (13) to (19). In some embodiments, halides/halogens may include F, Cl, Br, and I. In some embodiments, the silicon precursor may comprise (3-aminopropyl) trimethoxysilane (APTMS).

In some embodiments, more than one silicon precursor may simultaneously contact the substrate surface during the ALD stage. In some embodiments, the silicon precursor may comprise more than one silicon precursor as described herein. In some embodiments, a first silicon precursor is used in a first ALD cycle and a second, different ALD precursor is used in a later ALD cycle. In some embodiments, multiple silicon precursors may be used during a single ALD stage, for example, to optimize certain properties of the deposited SiOCN film. In some embodiments, only one silicon precursor may contact the substrate during deposition. In some embodiments, there may be only one silicon precursor and one second reactant or a combination of second reactants during the deposition process. In some embodiments, no metal precursor is present during deposition. In some embodiments, the silicon precursor is not used as a silylating agent. In some embodiments, the deposition temperature and/or duration of the silicon precursor contacting step is selected such that the silicon precursor does not decompose. In some embodiments, the silicon precursor may decompose during the silicon precursor contacting step. In some embodiments, the silicon precursor is halogen-free, such as chlorine or fluorine.

In some embodiments, more than one silicon precursor may simultaneously contact the substrate surface during the ALD stage. In some embodiments, the silicon precursor may comprise more than one silicon precursor as described herein. In some embodiments, a first silicon precursor is used in a first ALD cycle and a second, different ALD precursor is used in a later ALD cycle. In some embodiments, multiple silicon precursors may be used during a single ALD stage, for example, to optimize certain properties of the deposited SiOC film. In some embodiments, only one silicon precursor may contact the substrate during deposition. In some embodiments, there may be only one silicon precursor and one second reactant or a combination of second reactants during the deposition process. In some embodiments, no metal precursor is present during deposition. In some embodiments, the silicon precursor is not used as a silylating agent. In some embodiments, the deposition temperature and/or duration of the silicon precursor contacting step is selected such that the silicon precursor does not decompose. In some embodiments, the silicon precursor may decompose during the silicon precursor contacting step. In some embodiments, the silicon precursor is halogen-free, such as chlorine or fluorine.

Second reactant

As discussed above, the second reactant for depositing SiOC according to the present disclosure may comprise an oxygen-free plasma. The plasma may be generated by applying plasma power to a non-oxygen containing gas. In some embodiments, the second reactant may comprise a hydrogen precursor, which may comprise a reactive species. In some embodiments, reactive species include, but are not limited to, radicals, plasmas, and/or excited atoms or species. Such reactive species may be generated by, for example, plasma discharge, hot wire, or other suitable methods. In some embodiments, the reactive species may be generated remotely from the reaction chamber, for example upstream of the reaction chamber ("remote plasma"). In some embodiments, the reactive species may be generated in the reaction chamber, immediately adjacent to the substrate, or directly above the substrate ("direct plasma").

Suitable plasma compositions for the PEALD process contain hydrogen reactive species, i.e., plasma in one form or another, hydrogen radicals, or atomic hydrogen. In some embodiments, the second reactant comprises a reactive species generated by forming a plasma in a gas comprising hydrogen. In some embodiments, the gas comprises hydrogen and no oxygen. In some embodiments, the second reactant may comprise a reactive species formed at least in part from H2. In some embodiments, the plasma may also contain an inert gas in plasma form, radical form, or atomic form, such as He, Ne, Ar, Kr, and Xe, or Ar or He. In some embodiments, the plasma is generated in a gas comprising H2. In some embodiments, the gas comprises H2 and Ar.

in some embodiments, the second reactant may comprise a reactive species formed from H2. In some embodiments, the second reactant may be generated from a gas comprising more than about 25 atomic percent (at%) hydrogen, more than about 50 at% hydrogen, more than about 75 at% hydrogen, more than about 85 at% hydrogen, more than about 90 at% hydrogen, more than about 95 at% hydrogen, more than about 96 at%, 97 at%, 98 at%, or more than about 99 at% hydrogen.

In some embodiments, the gas used to generate the reactive species, e.g., plasma, may consist essentially of hydrogen. Thus, in some embodiments, the second reactant may consist essentially of hydrogen plasma, hydrogen radicals, or atomic hydrogen. In some embodiments, the second reactant may comprise more than about 25 at% hydrogen, more than about 50 at% hydrogen, 75 at%, more than about 85 at%, more than about 90 at%, more than about 95 at%, more than about 96 at%, 97 at%, 98 at%, or more than about 99 at% hydrogen plasma, hydrogen radicals, or atomic hydrogen. In some embodiments, the second reactant may be formed, at least in part, from H2 and one or more other gases, wherein H2 and the one or more other gases are provided at a flow ratio (H2/one or more other gases) of about 1:1000 to about 1000:1 or greater. In some embodiments, the flow ratio (H2/other gas (s)) may be greater than about 1:1000, greater than about 1:100, greater than about 1:50, greater than about 1:20, greater than about 1:10, greater than about 1:6, greater than about 1:3, greater than about 1:1, greater than about 3:1, greater than about 6:1, greater than about 10:1, greater than about 20:1, 50:1, 100:1, or 1000:1 or greater.

In some embodiments, the second reactant is free of any species generated from oxygen. Thus, in some embodiments, the reactive species are not generated from an oxygen-containing gas. In some embodiments, the second reactant comprising the reactive species is generated from a gas that does not contain oxygen. For example, in some embodiments, the second reactant may comprise a plasma generated from a gas that does not contain oxygen. In some other embodiments, the second reactant may be generated from a gas containing less than about 50 atomic percent (at%) oxygen, less than about 30 at% oxygen, less than about 10 at% oxygen, less than about 5 at% oxygen, less than about 1 at% oxygen, less than about 0.1 at% oxygen, less than about 0.01 at% oxygen, or less than about 0.001 at% oxygen. In some embodiments, the second reactant is free of O2, H2O, or O3.

In some embodiments, the hydrogen plasma may be free or substantially free of oxygen-containing species (e.g., oxygen ions, radicals, atomic oxygen). For example, no oxygen-containing gas is used to generate the hydrogen plasma. In some embodiments, during the hydrogen plasma step, an oxygen-containing gas (e.g., O2 gas) does not flow into the reaction chamber.

In some embodiments, no oxygen-containing gas is used to generate the hydrogen plasma. In some embodiments, during the hydrogen plasma step, an oxygen-containing gas (e.g., O2 gas) does not flow into the reaction chamber.

in some embodiments, the second reactant is free of any species generated from nitrogen. Thus, in some embodiments, the reactive species are not generated from a nitrogen-containing gas. In some embodiments, the second reactant comprising the reactive species is generated from a nitrogen-free gas. For example, in some embodiments, the second reactant may comprise a plasma generated from a nitrogen-free gas. In some embodiments, the second reactant may be generated from a gas containing less than about 25 atomic percent (at%) nitrogen, less than about 20 at% nitrogen, less than about 15 at% nitrogen, less than about 10 at% nitrogen, less than about 5 at% nitrogen, less than about 1 at% nitrogen, less than about 0.1 at% nitrogen, less than about 0.01 at% nitrogen, or less than about 0.001 at% nitrogen. In some embodiments, the second reactant is free of N2, NH3, or N2H 4.

In some embodiments, the hydrogen plasma may be free or substantially free of nitrogen-containing species (e.g., nitrogen ions, radicals, atomic nitrogen). For example, no nitrogen-containing gas is used to generate the hydrogen plasma. In some embodiments, during the hydrogen plasma step, a nitrogen-containing gas (e.g., N2 gas) is not flowed into the reaction chamber.

However, in some other embodiments, nitrogen reactive species in the form of one or another form of plasma, nitrogen radicals, or atomic nitrogen are also provided. Thus, in some embodiments, the second reactant may comprise a reactive species formed from a compound having both N and H (e.g., NH3 and N2H4), or a mixture of N2/H2, or other precursors having N-H bonds. In some embodiments, the second reactant may be formed, at least in part, from N2. In some embodiments, the second reactant can be formed, at least in part, from H2 and N2, wherein H2 and N2 are provided at a flow ratio (H2/N2) of about 100:1 to about 1:100, about 20:1 to about 1:20, about 10:1 to about 1:10, about 5:1 to about 1:5, and/or about 2:1 to about 4:1, and in some cases 1: 1. For example, a hydrogen-containing plasma for depositing SiOC may be generated using one or more of the ratios of N2 and H2 described herein.

in some embodiments, the gas used to generate the reactive species (e.g., plasma) may consist essentially of argon or another inert gas. In some embodiments, the plasma power used to generate the hydrogen-containing plasma may be from about 5 watts (W) to about 5000W, 10W to about 2,000W, about 50W to about 1000W, about 100W to about 1000W, or about 100W to about 500W. In some embodiments, the plasma power used to generate the hydrogen-containing plasma may be from about 100W to about 300W. In some embodiments, the hydrogen-containing plasma may also include argon or another inert gas.

SiOC film characteristics

SiOC films deposited according to some embodiments discussed herein may achieve impurity levels or concentrations below about 3 at%, below about 1 at%, below about 0.5 at%, or below about 0.1 at%. In some thin films, the total impurity level, excluding hydrogen, may be less than about 5 at%, less than about 2 at%, less than about 1 at%, or less than about 0.2 at%. And in some films, the hydrogen level may be less than about 30 at%, less than about 20 at%, less than about 15 at%, or less than about 10 at%. As used herein, an impurity may be considered to be any element other than Si, O, and/or C. In some embodiments, the film is free of argon.

In some embodiments, the deposited SiOC film does not contain appreciable amounts of hydrogen. However, in some embodiments, a SiOC film containing hydrogen is deposited. In some embodiments, the deposited SiOC film comprises less than about 30 at%, less than about 20 at%, less than about 15 at%, less than about 10 at%, or less than about 5 at% hydrogen. In some embodiments, the film is free of argon.

As used herein, the term step coverage refers to the average thickness of a film deposited on vertical surfaces of a three-dimensional feature divided by the average thickness of a film deposited on horizontal surfaces of the three-dimensional feature. As described above, step coverage of the SiOC film formed or deposited may be controlled by selecting an appropriate plasma power to generate the second reactant in the PEALD process, according to some embodiments. In some embodiments, the plasma power may be selected from a given range (e.g., 50W to 1000W) to achieve a SiOC film with a desired step coverage. In some embodiments, for three-dimensional features having an aspect ratio of about 1 to about 3, higher plasma power may produce SiOC films with high step coverage

As used herein, the term Wet Etch Rate Ratio (WERR) refers to the ratio of the wet etch rate of a film deposited on the vertical surfaces of a three-dimensional feature to the wet etch rate of a film deposited on the horizontal surfaces of the feature. As described above, according to some embodiments, the WERR of the formed or deposited SiOC film may be controlled by selecting an appropriate plasma power to generate the second reactant in the PEALD process. In some embodiments, the plasma power can be selected from a given range (e.g., 50W to 1000W) to achieve a SiOC film with a desired WERR. In some embodiments, for three-dimensional features having an aspect ratio of about 1 to about 3, higher plasma power may produce SiOC films with lower WERR.

Additionally, as discussed above, the WER and WERR can be modified with post-deposition plasma treatment. In some embodiments, the SiOC film deposited on the three-dimensional structure is treated with nitrogen or oxygen plasma to improve the WER on the sidewalls.

In some embodiments where the deposited SiOC film is subjected to an etch, such as a wet etch, the SiOC film may be present on vertical surfaces of the substrate, and substantially no SiOC may be present on horizontal surfaces of the substrate. In some other embodiments where the deposited SiOC film is subjected to an etch, such as a wet etch, the SiOC film may be present on horizontal surfaces of the substrate, and substantially no SiOC may be present on vertical surfaces of the substrate.

According to some embodiments, SiOC films may exhibit step coverage and pattern loading effects greater than about 50%, greater than about 80%, greater than about 90%, or greater than about 95%. In some cases, the step coverage and pattern loading effects may be greater than about 98% and in some cases about 100% (within the accuracy of the measurement tool or method). In some embodiments, the step coverage and pattern loading effects may be greater than about 100%, greater than about 110%, greater than about 120%, greater than about 130%, or greater than about 140%. In some embodiments, the step coverage and pattern loading effects may be greater than about 200%, 300%, 500%, 700%, 1000%, or more.

As described above, in some embodiments, step coverage may be increased by a post-deposition plasma treatment in which the SiOC film on the three-dimensional structure is contacted with a hydrogen plasma. In some cases, the post-deposition plasma treatment may, for example, increase step coverage from less than 100% to greater than 100%, or even greater than 150%.

As used herein, "pattern loading effect" is used according to its ordinary meaning in the art. Although pattern loading effects can be seen with respect to impurity content, density, electrical properties, and etch rate, the term pattern loading effect as used herein refers to film thickness variations in the substrate regions where structures are present, unless otherwise specified. Thus, the pattern loading effect may be given in terms of the film thickness in the sidewalls or bottom of the features within the three-dimensional structure relative to the film thickness on the sidewalls or bottom of the three-dimensional structure/features facing the open field. As used herein, a pattern loading effect of 100% (or a ratio of 1) will represent almost completely uniform film properties throughout the substrate, regardless of the features, i.e., in other words, there is no pattern loading effect (variation of a particular film property, such as thickness, in the features versus the open field).

In some embodiments, the growth rate of the film on the vertical and/or horizontal surfaces of the substrate is from about/cycle to about/cycle, from about/cycle to about/cycle. In some embodiments, the growth rate of the film is greater than about/cycle, greater than about/cycle. In some embodiments, the growth rate of a film on one surface (e.g., the vertical surface of a three-dimensional feature) may be different than the growth rate of a film on a different surface (e.g., a horizontal surface).

In some embodiments, SiOC films are deposited on vertical and/or horizontal surfaces to a thickness of about 3nm to about 50nm, about 5nm to about 30nm, about 5nm to about 20 nm. In some embodiments, the thickness of portions of the deposited SiOC film may be controlled by selecting an appropriate plasma power as described above and/or by post-deposition plasma treatment of the SiOC film. For example, in some embodiments, the thickness of the SiOC film deposited on horizontal surfaces of the substrate may be controlled to achieve a desired value, while the thickness of the SiOC film deposited on vertical surfaces of the substrate may be controlled to achieve a second, different desired value. These thicknesses may be achieved at feature sizes (widths) of less than about 100nm, about 50nm, less than about 30nm, less than about 20nm, and in some cases less than about 15 nm. According to some embodiments, the SiOC film is deposited on the three-dimensional structure, and the thickness at the sidewalls may even be slightly greater than 10 nm. In some embodiments, SiOC films greater than 50nm may be deposited. In some embodiments, SiOC films greater than 100nm may be deposited. In some embodiments, the SiOC film is deposited to a thickness greater than about 1nm, greater than about 2nm, greater than about 3nm, greater than about 5nm, greater than about 10 nm.

In some embodiments, post-deposition treatment of the SiOC film with a hydrogen plasma as described herein may reduce the thickness on the top surface of the trench while increasing the thickness at the sidewalls and bottom of the trench.

according to some embodiments, SiOC films having various Wet Etch Rates (WER) may be deposited on horizontal and/or vertical surfaces of a substrate by controlled deposition methods as described herein. In some embodiments, the WER of different portions of the deposited SiOC film can be controlled to be different by selecting an appropriate plasma power as described above. For example, in some embodiments, the WER of the SiOC film deposited on the horizontal surface of the substrate can be controlled to achieve a desired value, while the WER of the SiOC film deposited on the vertical surface of the substrate can be controlled to achieve a second, different desired value. When blanket etching in 0.5 wt.% dHF (nm/min) is used, a portion of the SiOC film may have a WER value of less than about 5, less than about 4, less than about 2, or less than about 1. In some embodiments, SiOC films may have WER values significantly less than 1. In some embodiments, the SiOC film may have a WER value of less than about 0.3, less than about 0.2, or less than about 0.1. In some embodiments, the SiOC film may have a WER value of less than about 0.05, less than about 0.025, or less than about 0.02. In some embodiments, the deposited film can have a WER of about 0.1 to about 15 on vertical surfaces of the three-dimensional feature and a WER of about 10%, 25%, 50%, 100%, 250%, 500%, or 1000% greater on horizontal surfaces of the three-dimensional feature. In some embodiments, the deposited film can have a WER of about 0.1 to about 15 on vertical surfaces of the three-dimensional feature and a WER of about 10%, 25%, 50%, or 100% less on horizontal surfaces of the three-dimensional feature.

The blanket we (nm/min) in 0.5 wt.% dHF may be less than about 3, less than about 2, less than about 1, or less than about 0.5 relative to the WER of the thermal oxide. In some embodiments, the blanket WER in 0.5 wt.% dHF can be less than about 0.4, 0.3, 0.2, or 0.1 relative to the WER of TOX.

In some embodiments where the PEALD process is conducted at a temperature less than about 100 ℃, the blanket WER in 0.5 wt.% dHF (nm/min) relative to the WER of the thermal oxide can be less than about 10, less than about 5, less than about 3, less than about 2, or less than about 1.

In some embodiments, as described above, the etch rate of portions of the film may be controlled by selecting an appropriate plasma power based on the aspect ratio of the three-dimensional features of the SiOC film to be deposited. Thus, in some embodiments, the ratio of the etch rate (e.g., WER) of the SiOC film deposited on vertical surfaces (e.g., sidewalls of the three-dimensional features) to the etch rate (WERR) of the SiOC film deposited on horizontal surfaces (e.g., top surfaces of the three-dimensional features) can be controlled by selecting an appropriate plasma power as described herein. In some embodiments, the plasma power may be selected to achieve a ratio of the etch rate of the SiOC film deposited on the vertical surfaces of the substrate to the etch rate of the SiOC film deposited on the horizontal surfaces of the substrate in 0.5 wt.% dHF of about 0.1 to about 20, about 0.2 to about 15, about 0.5 to about 10, about 1 to about 2, about 2 to about 5, about 5 to about 10, about 10 to about 20, or in some cases greater than or equal to about 20.

And in some embodiments, the ratio of the sidewall etch rate (e.g., the WER of the SiOC film deposited on a substantially vertical three-dimensional feature such as a fin or trench) relative to the etch rate of the SiOC film deposited on a substantially horizontal surface, such as the top surface of a three-dimensional feature such as a fin or trench (WERR) may be from about 1 to about 2, from about 2 to about 5, from about 5 to about 10, from about 10 to about 20, or in some cases greater than or equal to about 20, in 0.5 wt.% dHF. In some embodiments, the ratio of the WER of the SiOC film deposited on the vertical surfaces of the three-dimensional feature to the WER of the SiOC film deposited on the top surface of the three-dimensional feature (WERR) may be equal to or greater than about 2, equal to or greater than about 5, equal to or greater than about 10, equal to or greater than about 15, or equal to or greater than about 20.

in some embodiments, the ratio of the WER of the SiOC film deposited on or in the substantially vertical surfaces (e.g., sidewall surfaces) of the three-dimensional feature to the WER of the SiOC film deposited on or in the substantially horizontal surfaces (e.g., top surfaces) of the three-dimensional feature (WERR) can be from about 1 to about 0.5, from about 0.5 to about 0.2, from about 0.2 to about 0.1, from about 0.1 to about 0.05, or in some cases less than about 0.05. In some embodiments, the ratio of the WER of the SiOC film deposited on the substantially vertical surface of the three-dimensional feature to the WER of the SiOC film deposited on the substantially horizontal surface of the three-dimensional feature (WERR) may be equal to or less than about 0.5, equal to or less than about 0.2, equal to or less than about 0.1, or equal to or less than about 0.05.

In some embodiments, the ratio of the WER of the SiOC film deposited on or in the substantially vertical surfaces (e.g., sidewall surfaces) of the three-dimensional features to the WER of the TOXs can be between about 5 to about 10, between about 2 to about 5, between about 1 to about 2, between about 0.5 to about 1, or between about 0.1 to about 0.5. In some embodiments, the ratio of the WER of the SiOC film deposited on or in the substantially vertical surfaces (e.g., sidewall surfaces) of the three-dimensional features to the WER of the TOXs can be greater than or equal to about 0.1, greater than or equal to about 0.5, greater than or equal to about 1, greater than or equal to about 2, greater than or equal to about 5, or greater than or equal to about 10.

in some embodiments, for example in 0.5 wt.% dHF, SiOC formed according to one or more methods described herein may advantageously exhibit a ratio of the WER of the substantially vertical region to the WER of the substantially horizontal region (WERR) of about 1. For example, the ratio (WERR) of the wet etch rate of the SiOC film formed on the substantially vertical surfaces (e.g., sidewall surfaces) of the three-dimensional structure on the substrate surface to the wet etch rate of the SiOC film formed on the substantially horizontal surfaces (e.g., top surfaces) may be the same or substantially the same. In some embodiments, the ratio may be from about 4 to about 0.5, from about 2 to about 0.75, from about 1.25 to about 0.8, or from about 1.1 to about 0.9. These ratios may be achieved in features having aspect ratios of about 1.2 or greater, about 1.5 or greater, about 1.8 or greater, about 2 or greater, about 3 or greater, about 5 or greater, or even about 8 or greater.

In some embodiments, for example, where a three-dimensional feature or portion thereof is disposed adjacent or near a substantially flat or open portion of a substrate, the aspect ratio may be considered as the ratio of the vertical or side portion of the three-dimensional feature or portion to the top portion of the three-dimensional feature or portion, and may not take into account the dimensions of the open portion of the substrate. That is, in some embodiments, the aspect ratio of a three-dimensional feature or a portion of a three-dimensional feature may be considered as the ratio of the height or depth of the feature or portion to the width of the feature or portion, regardless of the size of any adjacent open portions of the substrate.

In some embodiments, for example in 0.5 wt.% dHF, SiOC formed according to one or more methods described herein may advantageously exhibit a vertical and horizontal extent WERR of about 1. For example, the ratio of the wet etching rate of the SiOC film formed on the horizontal surface (e.g., top surface) of the three-dimensional structure on the substrate surface to the wet etching rate of the SiOC film formed on the vertical surface (e.g., sidewall surface) may be the same or substantially the same. In some embodiments, the ratio may be from about 0.25 to about 2, from about 0.5 to about 1.5, from about 0.75 to about 1.25, or from about 0.9 to about 1.1. These ratios may be achieved in features having aspect ratios of about 1.2 or greater, about 1.5 or greater, about 1.8 or greater, about 2 or greater, about 3 or greater, about 5 or greater, or even about 8 or greater.

In some embodiments, the etching amount of the SiOC film according to the present disclosure may be about 1/2, 1/3, 1/6, 1/11 of the etching amount observed for thermal SiO2(TOX) in a 0.5 wt.% HF dip process (e.g., in a process to remove about 2 to about 3nm TOX, when deposited according to the process disclosed herein, removing the SiOC of 1/2, 1/3, 1/6, 1/11).

In some embodiments, SiOC films less than about 2nm may be removed in a 0.5 wt.% HF dip process with an etch time of 5 minutes. In some embodiments, SiOC films less than about 2nm may be removed in a 0.5 wt.% HF dip process with an etch time of 60 minutes.

In some embodiments, the etching amount of the SiOC film according to the present disclosure may be about 1/2, 1/3, 1/6, 1/11 of the etching amount observed for thermal SiO2(TOX) in a 0.5 wt.% HF dip process (e.g., in a process to remove about 2 to about 3nm TOX, when deposited according to the process disclosed herein, removing the SiOC of 1/2, 1/3, 1/6, 1/11).

in some embodiments, SiOC films less than about 2nm may be removed in a 0.5 wt.% HF dip process with an etch time of 5 minutes. In some embodiments, SiOC films less than about 2nm may be removed in a 0.5 wt.% HF dip process with an etch time of 60 minutes.

For simplicity, all atomic percent (i.e., at%) values provided herein do not include hydrogen unless otherwise indicated because it is difficult to accurately analyze hydrogen in a quantitative manner. However, in some embodiments, the hydrogen content of the film is less than about 20 at%, less than about 10 at%, or less than about 5 at%, if it is possible to analyze hydrogen with reasonable accuracy. In some embodiments, the deposited SiOC film may contain up to about 70% oxygen by atomic (at%). In some embodiments, the SiOC film may comprise from about 10% to about 70%, from about 15% to about 50%, or from about 20% to about 40%, by atom, of oxygen. In some embodiments, the SiOC film may contain at least about 20%, about 40%, or about 50% oxygen by atom.

In some embodiments, the deposited SiOC film may contain up to about 40% carbon by atomic (at%). In some embodiments, the SiOC film may comprise from about 0.1% to about 40%, from about 0.5% to about 40%, from about 1% to about 30%, or from about 5% to about 20% carbon by atom. In some embodiments, the SiOC film may comprise at least about 1%, about 10%, or about 20% carbon by atom.

In some embodiments, the deposited SiOC film may contain up to about 50% silicon by atomic (at%). In some embodiments, the SiOC film may comprise from about 10% to about 50%, from about 15% to about 40%, or from about 20% to about 35% silicon by atom. In some embodiments, the SiOC film may comprise at least about 15%, about 20%, about 25%, or about 30% silicon by atom.

in some embodiments, the deposited SiOC film may contain up to about 40% sulfur by atomic (at%). In some embodiments, the SiOC film may comprise from about 0.01% to about 40%, from about 0.1% to about 40%, from about 0.5% to about 30%, or from about 1% to about 20% sulfur by atom. In some embodiments, the SiOC film may comprise at least about 1%, about 10%, or about 20% sulfur by atom. In some embodiments, the deposited SiOC film does not contain appreciable amounts of nitrogen. However, in some embodiments, a SiOC film comprising nitrogen is deposited. In some embodiments, the deposited SiOC film comprises less than about 30 at%, less than about 20 at%, less than about 15 at%, less than about 10 at%, less than about 5 at% nitrogen, less than about 1% nitrogen, or less than about 0.1 at% nitrogen. In some embodiments, the SiOC film is nitrogen-free.

As discussed above, in some embodiments, SiOC films may contain Si-C bonds and/or Si-O bonds. In some embodiments, the SiOC film may additionally comprise Si-N bonds. In some embodiments, the SiOC film may additionally comprise Si — S bonds. In some embodiments, the SiOC film may contain Si-C bonds and Si-O bonds and may be free of Si-N bonds. In some embodiments, the SiOC film may include Si-N bonds and Si-O bonds and may be free of Si-C bonds. In some embodiments, the SiOC film may contain Si-N bonds and Si-C bonds and may be free of Si-O bonds. In some embodiments, the SiOC film may contain Si-S bonds, Si-C bonds, and Si-O bonds and may be free of Si-N bonds. In some embodiments, the SiOC film may include Si-S bonds and Si-C bonds, and may be free of Si-O bonds. In some embodiments, the SiOC film may contain Si-S bonds and Si-O bonds and may be free of Si-C bonds. In some embodiments, the SiOC film may contain more Si-O bonds than Si-C bonds, for example the ratio of Si-O bonds to Si-C bonds may be about 1:1 to about 10: 1. In some embodiments, the deposited SiOC film may comprise one or more of SiN, SiO, SiC, SiCN, SiON, SiOSC, SiSC, SiOS, and/or SiOC.

in some embodiments, the SiOC film is not a low-k film, e.g., the SiOC film is not a porous film. In some embodiments, the SiOC is a continuous film. In some embodiments, the SiOC film has a k value of less than about 10. In some embodiments, the SiOC film has a k value of less than about 7. In some embodiments, the SiOC film has a k value of about 2 to about 10. In some embodiments, the SiOC film has a k value of less than about 5.0, less than about 4.5, less than about 4.3, less than about 4.1. In some embodiments, the SiOC film has a k value from about 3.0 to about 7, from about 3.0 to about 5.5, from about 3.0 to about 5.0, from about 3.5 to about 4.8, from about 3.5 to about 4.7. In some embodiments, the SiOC film has a k value greater than the k value of any low-k film. In some embodiments, the SiOC film has a greater k value than pure SiO 2.

In some embodiments, SiOC films deposited according to the present disclosure are free of laminate or nanolaminate structures.

In some embodiments, SiOC films deposited according to the present disclosure are not self-assembled monolayers (SAMs). In some embodiments, SiOC films deposited according to the present disclosure are not composed of separate individual molecules that are not bound to each other. In some embodiments, SiOC films deposited according to the present disclosure comprise materials that are substantially bonded or linked together. In some embodiments, SiOC films deposited according to the present disclosure are not functional layers, are not amino-functionalized and/or are not used as functional surfaces. In some embodiments, SiOC films deposited according to the present disclosure do not end-cap with-NH 2 groups. In some embodiments, SiOC films deposited according to the present disclosure do not contain a significant amount of-NH 2 groups.

additional film Material

In some embodiments, the formation of thin films comprising materials other than SiOC may be deposited and/or controlled by methods as described herein. For example, in some embodiments, the formation of metal oxide thin films and metal oxide films may be controlled by a PEALD process that does not include oxygen plasma or excited oxygen species, as described herein. In these embodiments, a different metal precursor is used in place of the silicon precursor in the process as described herein. In some embodiments, the formation of titanium oxide, niobium oxide, tantalum oxide, tungsten oxide, tio (cn), and/or aluminum oxide thin films may be controlled by a PEALD process as described herein. In some embodiments, titanium oxide, tio (cn), niobium oxide, tantalum oxide, tungsten oxide, and/or aluminum oxide thin films may be deposited by PEALD methods as described herein.

In some embodiments, the metal oxide film may comprise more than one metal oxide. The different metals may be provided from the same precursor or by two or more different metal precursors provided in one or more deposition cycles.

In some embodiments, an oxide film comprising both silicon and a metal may be deposited by a PEALD process that does not include an oxygen plasma or excited oxygen species, as described herein. In some embodiments, an oxide comprising both metal and silicon is deposited. In some embodiments, the oxide film may comprise a mixture of metal oxide and silicon oxide. In some embodiments, the oxide film may comprise a metal silicate. For example, the film may comprise silicon oxide and one or more transition metal oxides, ZrO2, HfO2 or TiO2, Al2O3, lanthanide (+ Sc + Y) oxide, Ta2O5 or Nb2O 5.

in some embodiments, a metal precursor is used in conjunction with a silicon precursor in a method as described herein. In some embodiments, a deposition cycle for depositing the metal oxide and a deposition cycle for depositing the silicon oxide may be provided in a ratio selected during deposition to deposit a film having a desired composition.

In some embodiments, the deposition process may include a single deposition cycle that includes alternately and sequentially contacting the substrate with the first metal precursor, the second silicon precursor, and the third plasma reactant. The metal and silicon precursors and the third reactant may be used for deposition of metal oxides and silicon oxides as described herein. The deposition cycle may begin with providing a metal reactant, providing a silicon reactant, or providing a third reactant. As described herein, the provision of each reactant may be separated by a purge step in which excess reactants and reaction byproducts are removed from the reaction space. In some embodiments, the ratio of the metal precursor and the silicon precursor is selected and/or adjusted to provide a mixed metal oxide film having a desired composition.

In some embodiments, the metal precursor used to deposit the metal oxide-comprising film by the methods described herein may comprise a volatile compound comprising the desired metal and oxygen. A list of metal precursors used to deposit metal oxide films by PEALD methods as described herein that do not include oxygen plasma or excited oxygen species is provided in table 1.

Precursor compound Membrane material
Aluminium ethoxide (III) Al2O3
Niobium ethoxide (V) Nb2O5
Tantalum (V) ethoxide Ta2O5
titanium ethoxide (IV) TiO2
Titanium methoxide (IV) TiO2
Titanium (IV) isopropoxide (TTIP) TiO(CN)
trimethoxypentamethylcyclopentadienyl titanium TiO2
W(thd)4 WOx

Table 1: precursors for depositing metal oxide films

In some embodiments, the metal precursor used to deposit the metal oxide film by the methods described herein may comprise a volatile compound of the formula:

M(OR)R

Wherein RI may be an independently selected hydrocarbyl group, and wherein M is a metal or Ge, such as a transition metal or Ge, Al, Ga, In, Sn, Pb, Bi, Sb, wherein x + y is equal to the oxidation state or number of bonds of metal atoms, such as 3, 4, 5 or 6. In some embodiments where there are double or triple bonds of metal atoms, each double or triple bond may be counted two or three times when determining the value of x + y. In some embodiments, the RII can be any ligand comprising carbon, hydrogen, nitrogen, halogen, and/or oxygen. In some embodiments, M is a transition metal selected from: ti, V, Cr, Mn, Zr, Nb, Mo, Ru, Rh, Pd, Ag, Au, Hf, Ta, W, Re, Os, Ir, and Pt. In some embodiments, M is a transition metal selected from: cu, Fe, Co, Ni. In some embodiments, M is a transition metal selected from: au, Pt, Ir, Pd, Os, Ag, Re, Rh and Ru. In some embodiments, RI may be an independently selected C1-C5 alkyl ligand, such as a methyl, ethyl, n-propyl, isopropyl, tert-butyl, or pentyl ligand. In some embodiments, RI may comprise oxygen or nitrogen or another heteroatom. In some embodiments, the RII may comprise, for example, alkenyl, alkynyl, cyclic hydrocarbon, amine, alkylamine, phenyl, carbonyl, aldehyde, ester, ether, carboxyl, peroxy, hydroperoxy, thiol, acrylate, or methacrylate ligands. In some embodiments, at least one ligand of the above formula comprises oxygen. In some embodiments, M may also be a group 1 or 2 metal element.

In some embodiments, the metal precursor used to deposit the metal oxide film by the methods described herein may comprise a volatile compound of the formula:

M(OR),

Wherein RI may be an independently selected alkyl group, and wherein M is a metal or Ge, such as a transition metal or Ge, Al, Ga, In, Sn, Pb, Bi, Sb, and wherein x is equal to the oxidation state or number of bonds of the metal atom, such as 3, 4, 5, or 6. In some embodiments where there are double or triple bonds of metal atoms, each double or triple bond may be counted two or three times when determining the value of x. In some embodiments, RI may be an independently selected C1-C5 alkyl ligand, such as a methyl, ethyl, n-propyl, isopropyl, tert-butyl, or pentyl ligand. In some embodiments, M is a transition metal selected from: ti, V, Cr, Mn, Zr, Nb, Mo, Ru, Rh, Pd, Ag, Au, Hf, Ta, W, Re, Os, Ir, and Pt. In some embodiments, M is a transition metal selected from: cu, Fe, Co, Ni. In some embodiments, M is a transition metal selected from: au, Pt, Ir, Pd, Os, Ag, Re, Rh and Ru. In some embodiments, M may be a rare earth element, such as Sc, Y, La, Ce, or Nd.

In some embodiments, the metal precursor used to deposit the metal oxide film by the methods described herein may comprise at least one multidentate ligand, for example a bidentate ligand, such as a beta diketone ligand (acac, thd) or another multidentate/bidentate ligand bonded to the metal atom through at least one oxygen. In some embodiments, the metal precursor used to deposit the metal oxide film by the methods described herein may comprise a cyclic ligand, such as a cyclopentadienyl ligand.

In some embodiments, the metal precursor used to deposit the metal oxide film by the methods described herein may comprise an alkoxide precursor or alkoxide ligand. In some embodiments, the metal precursor used to deposit the metal oxide film by the methods described herein comprises at least one metal-oxygen bond. In some embodiments, the metal precursor used to deposit the metal oxide film by the methods described herein does not contain a metal-oxygen bond, but does contain oxygen in the ligand. In some embodiments, the metal precursor comprises a metal or Ge, such as a transition metal or Ge, Al, Ga, In, Sn, Pb, Bi, Sb. In some embodiments, the metal precursor comprises a group 1 or group 2 metal element. In some embodiments, M may be a rare earth element, such as Sc, Y, La, Ce, or Nd.

In some embodiments, a metal oxide film may be deposited on a substrate comprising a photoresist or other organic material according to the methods described herein. In some embodiments, a metal oxide film may be deposited on a substrate that would otherwise be damaged by a PEALD process including an oxygen plasma.

In some embodiments, a metal oxide film deposited according to a PEALD process as described herein may have a higher wet etch resistance than a similar metal oxide film deposited by a PEALD process that includes an oxygen plasma or excited oxygen species. As described herein, in some embodiments, the formation of a metal oxide film can be controlled by selecting a plasma power from within a range (e.g., a range as described herein with respect to the deposition of SiOC) in a PEALD process in order to achieve a desired step coverage and/or WERR. That is, in some embodiments, the process conditions used to control SiOC film formation as described herein may be used to control the formation of metal oxide films.

in some embodiments, the second reactant used in the PEALD process for depositing the metal oxide thin film is the same as the second reactant described herein with respect to SiOC deposition. In some embodiments, the second reactant comprises a plasma generated in a gas comprising an inert gas, such as Ar. As described above, in some embodiments, the second reactant is a plasma generated in a gas that does not contain oxygen. In some embodiments, the second reactant comprises a plasma generated in Ar, a plasma generated in Ar and N2, or a plasma generated in Ar and H2. In some embodiments, the second reactant may be selected to control the amount of a particular component of the metal oxide film, such as the amount of carbon and/or nitrogen. In addition, the plasma power can be controlled to adjust the composition of the film.

In some embodiments, the metal oxide comprising titanium is deposited by a PEALD process without the use of an oxygen plasma or other oxygen reactant. For example, titanium (IV) isopropoxide (TTIP) may be used in combination with a non-oxygen plasma to deposit a titanium oxide film by a PEALD method. In some embodiments, TTIP is used in conjunction with a plasma generated in Ar, a plasma generated in a gas comprising Ar and nitrogen, or a plasma generated in a gas comprising Ar and hydrogen for the PEALD process. In some embodiments, titanium oxide films comprising carbon are deposited by a PEALD process, in which a titanium reactant, such as TTIP, is used in combination with a plasma generated in an inert gas (e.g., in pure Ar gas). The amount of carbon can be adjusted by varying the plasma power. In some embodiments, a titanium oxide film comprising carbon and nitrogen (tio (cn)) is deposited by a PEALD process using a combination of a titanium reactant, such as TTIP, and a plasma generated in a nitrogen-containing gas, such as in a gas comprising Ar and N2.

48页详细技术资料下载
上一篇:一种医用注射器针头装配设备
下一篇:用于处理金属表面的含水酸性组合物、使用这种组合物的处理方法和经处理的金属表面的用途

网友询问留言

已有0条留言

还没有人留言评论。精彩留言会获得点赞!

精彩留言,会给你点赞!